説明

エッチングプロセス中および/または後続のすすぎプロセス中におけるエッチング副生成物の沈殿を阻止するための方法

【課題】
【解決手段】 マイクロエレクトロニクス・トポグラフィを処理するための方法は、超臨界状態または液体状態の流体を含むエッチング溶液を使用してトポグラフィの層を選択的にエッチングすることを含む。一部の実施形態では、エッチングプロセスは、エッチング副生成物の沈殿を抑制するために、プロセスチャンバをベントするのと同時にエッチング溶液の新鮮な組成をプロセスチャンバに導入することを含んでよい。エッチングプロセスに続いて、超臨界状態または液体状態の流体を含むすすぎ溶液がプロセスチャンバに導入されてよい。場合によっては、すすぎ溶液は、エッチング副生成物の沈殿の抑制を助けるために、酸、極性アルコール、および/または水などの、流体と混合される1つまたは複数の極性共溶媒を含んでよい。追加または代わりとして、エッチング溶液およびすすぎ溶液の少なくとも一方は、トポグラフィの周囲の溶解エッチング副生成物の沈殿を抑制するためにそれらのエッチング副生成物を変性させるように構成された化学物質を含んでよい。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、全体として、マイクロエレクトロニクス・トポグラフィ(microelectronic topographies)を処理するための方法および溶液に関するものであり、より詳細には、エッチングプロセス中および/または後続のすすぎ(リンス)プロセス中におけるマイクロエレクトロニクス・トポグラフィ上へのエッチング副生成物の沈殿を阻止するための方法に関するものである。
【背景技術】
【0002】
以下の説明および例は、本項目に含まれているゆえに先行技術とは認められない。
【0003】
マイクロエレクトロニクス・トポグラフィの製造は、デバイス構造の集合体を形成するために、概して、材料の蒸着、パターン化、およびエッチングを含むがこれらの工程に限定はされない複数の処理工程を含む。一部の実施形態では、マイクロエレクトロニクス・トポグラフィの犠牲層内に導電性構造が形成され、その後、犠牲層の部分または全体が除去されて、導電性構造の側壁が露出されるであろう。その後、マイクロエレクトロニクス・トポグラフィは、エッチング溶液および/またはエッチング副生成物を除去するために脱イオン水によってすすがれ、引き続き乾燥されるであろう。場合によっては、エッチング、すすぎ、および/または乾燥のプロセスは、導電性構造を崩壊させて(すなわち、互いに倒れ掛けさせて)、マイクロエレクトロニクス・トポグラフィを使用不能にする恐れがある。特徴崩壊(feature collapse)の発生は、特に、集積回路の処理速度およびメモリ密度の引き上げというかつてなく差し迫った目標によって構造の幅寸法が縮小を続け、その結果としてアスペクト比が高まるにつれて、増加していると考えられる。特に、導電性構造のアスペクト比は、一部の実施形態では、導電性構造間の液体の表面張力が導電性構造を崩壊させるレベルまで高まっていると考えられる。
【0004】
特徴崩壊を軽減するものとして示されている技術は、1つには、トポグラフィ上への液体の形成が阻止されるように、超臨界流体の環境内において犠牲層をエッチングし、引き続きエッチングチャンバをベント(通気)することである。一般に、超臨界流体は、表面張力がない。それゆえに、このようなプロセス中は、表面張力を負った流体がトポグラフィのデバイス構造間に位置することはない。その結果、特徴崩壊は、抑えられるであろう。しかしながら、超臨界流体の環境内におけるエッチングの欠点は、エッチングプロセス中に生成されたエッチング副生成物が超臨界流体に溶解しにくくトポグラフィ上に沈殿されやすい傾向があることにある。場合によっては、エッチング副生成物の沈殿物は、結果として得られるデバイスの機能性を望ましくない形で変化させる恐れがあり、実施形態によっては、破壊的な影響を及ぼす恐れがある。例えば、溶解された酸化物の沈殿物は、場合によっては、導電性デバイス構造上における接触抵抗を増大させる恐れがある。ゆえに、沈殿物質を生じやすいエッチングプロセスの後は、沈殿物質を除去するためのプロセスが望まれるであろう。しかしながら、トポグラフィ上に沈殿された物質を除去するためには、通常、湿式のすすぎが必要とされる。上記のように、デバイス構造を有するマイクロエレクトロニクス・トポグラフィに対する湿式プロセスの利用は、流体の表面張力ゆえに特徴崩壊をもたらす恐れがある。
【0005】
したがって、超臨界流体の環境内におけるトポグラフィの部分のエッチング中およびその後におけるトポグラフィ上へのエッチング副生成物の沈殿を阻止するための方法を開発することが有利だと考えられる。
【発明の概要】
【0006】
上で概説された問題は、主に、マイクロエレクトロニクス・トポグラフィ上へのエッチング副生成物の沈殿を阻止するためにエッチングおよび/または後続のすすぎのプロセスと溶液とを変更することによって対処されるであろう。以下は、このような変更を利用するための方法の典型的な実施形態に過ぎず、特許請求の範囲の主題を制限するものとは決して見なされない。
【0007】
方法の実施形態は、プロセスチャンバにマイクロエレクトロニクス・トポグラフィを入れることと、超臨界状態または液体状態の流体を含むエッチング溶液を使用して、マイクロエレクトロニクス・トポグラフィの上面を構成する犠牲層を選択的にエッチングすることとを含む。さらに、方法は、エッチングプロセスに続いてすすぎ溶液をプロセスチャンバに導入することを含み、ここで、すすぎ溶液は、超臨界状態または液体状態の流体を含む。場合によっては、マイクロエレクトロニクス・トポグラフィの周囲環境内の溶解エッチング副生成物がトポグラフィ上に沈殿するのを抑制されるように、エッチングプロセスおよびすすぎプロセスの一方または両方の少なくとも途中の一定期間にわたって、それらの溶解エッチング副生成物を変性させるように化学的に構成された化学物質がプロセスチャンバに導入されてよい。
【図面の簡単な説明】
【0008】
以下の詳細な説明を読むことおよび添付の図面を参照することによって、本発明のその他の目的および利点が明らかになる。
【0009】
【図1】基板を処理するための典型的な方法のフローチャートである。
【0010】
【図2】二酸化炭素の圧力温度相図である。
【0011】
本発明は、様々な変更形態および代替形態で実施できるとはいえ、図中に例として示されるとともに本明細書に詳細に記載されるのは、それらの具体的な実施形態である。しかしながら、これらの具体的な実施形態についての図面および詳細な説明は、開示される特定の形態に本発明を限定することを意図しておらず、反対に、その意図は、添付の特許請求の範囲に定められる本発明の趣旨および範囲に入るあらゆる変更形態、均等物、および代替形態を網羅することにある。
【発明を実施するための形態】
【0012】
次に、図面が検討される。図1には、エッチングプロセス中および/または後続のすすぎプロセス中におけるマイクロエレクトロニクス・トポグラフィ上へのエッチング副生成物の凝集および沈殿を阻止するための方法の典型的な実施形態が例示および説明されている。なお、本明細書に記載される方法は、図1に描かれたフローチャートに必ずしも限定はされないことが留意される。特に、本明細書に記載される方法は、図1に示される工程の前、中間、および/または後に実施される工程を含む、図1に示されないマイクロエレクトロニクス・デバイスおよび/またはマイクロエレクトロニクス回路の製造のための追加工程を含んでよい。また、後ほどさらに詳しく明記されるように、図1に示されるプロセスの一部は、随意であってよく、したがって、場合によっては、本明細書に記載される方法から省かれてよい。一般に、本明細書で使用される「マイクロエレクトロニクス・トポグラフィ」は、マイクロエレクトロニクス・デバイスおよび/またはマイクロエレクトロニクス回路を形成するために使用される1つもしくは2つ以上の層および/または構造を有するトポグラフィ(topography、構造)をいうことができる。このように、この用語は、マイクロエレクトロニクス・デバイスおよび/またはマイクロエレクトロニクス回路の製造中の任意の段階で使用されるトポグラフィをいうことができる。マイクロエレクトロニクス・トポグラフィは、あるいは、「半導体トポグラフィ」と呼ばれてもよく、このように、これらの用語は、本明細書では区別なく使用される。
【0013】
図1のブロック10に示されるように、本明細書に記載される方法は、プロセスチャンバにマイクロエレクトロニクス・トポグラフィを入れることを含む。後ほどさらに詳しく説明されるように、プロセスチャンバは、特徴崩壊を軽減するやり方でマイクロエレクトロニクス・トポグラフィが処理されえるように、チャンバに導入される流体を超臨界状態に変換するように特に構成されてよい。一般に、このような圧力を生成するとともにこのような圧力に持ちこたえるように構成された任意のプロセスチャンバが使用されてよい。より詳細には、図1のブロック14、18、および/または24に関連して後ほど説明されるプロセスのために選択された(1つもしくは2つ以上の)流体を超臨界状態に変換および/または維持するのに十分な圧力を生成するとともにこのような圧力に持ちこたえるように構成された任意のプロセスチャンバが使用されてよい。本明細書に記載される方法を考慮すると、およそ1000psigを超える圧力範囲が多くの流体の変換および/または維持に適していると考えられ、したがって、およそ1000psigを超える圧力を生成するとともにこのような圧力に持ちこたえるように概して構成されたプロセスチャンバが用いられてよい。しかしながら、より低い圧力を生成するとともにこのような圧力に持ちこたえるように構成されたプロセスチャンバが使用されてもよい。
【0014】
プロセスチャンバにマイクロエレクトロニクス・トポグラフィが入れられた後、プロセスチャンバは、図1のブロック12に記されるように加圧されてよい。概して、加圧プロセスは、気体状態の流体をプロセスチャンバに導入することを含んでよい。場合によっては、チャンバを加圧するために使用される流体は、引き続きマイクロエレクトロニクス・トポグラフィの層を選択的にエッチングするために使用される流体であってよく、このエッチングのプロセスは、ブロック14に関連して後ほどさらに詳しく説明される。このような実施形態では、ブロック12に概説される加圧プロセスは、気体状態の流体を、その流体の飽和蒸気圧以上またはその流体の臨界圧力以上のチャンバ圧力が達成されるまでプロセスチャンバに導入することを含んでよい。このような時点で、流体は、(プロセスチャンバ内部の温度に応じて)液体状態または超臨界状態に変換される。ブロック14に関連して後ほどさらに詳しく説明されるように、トポグラフィの選択的エッチングプロセスは、液体状態または超臨界状態の流体を使用して実施される。このように、引き続きトポグラフィをエッチングするために使用される流体によるプロセスチャンバの加圧は、プロセスチャンバを加圧プロセスからエッチングプロセスへ容易に移行させるやり方を提供するであろう。別の実施形態では、しかしながら、プロセスチャンバは、トポグラフィを選択的にエッチングするために使用される(1つまたは2つ以上の)流体と異なる流体によって加圧されてよい。例えば、プロセスチャンバは、窒素によって加圧されてよい。このような場合、窒素は、後続の選択的エッチングプロセスのためのエッチング溶液が導入される際にプロセスチャンバから排出されてよい。
【0015】
ブロック14に進み、液体状態または超臨界状態の少なくとも1つの流体を含むエッチング溶液の使用によって、マイクロエレクトロニクス・トポグラフィの層が選択的にエッチングされる。少なくとも1つの流体は、かなり低い(例えばおよそ30ダイン/cm未満の)表面張力を有する(もしくは達成する能力を有する)または表面張力を有さない流体であり、ゆえに、以下では「低/無表面張力流体」と呼ばれる。後記のように、エッチング溶液は、液体、気体、またはプラズマの状態にある追加の化学物質を含み、ゆえに、エッチング溶液は、その他の流体を含む。選択的エッチングプロセスの継続時間は、概して、使用されるエッチャントに依存してよいが、典型的な期間は、およそ20秒からおよそ1分までの間であってよい。
【0016】
上述のように、超臨界流体の環境内におけるマイクロエレクトロニクス・トポグラフィのエッチングは、後続の特徴崩壊を抑制するのに有益である。特に、超臨界エッチング環境は、概して、特徴崩壊を効果的に抑制する超臨界乾燥環境への容易な移行を提供するであろう。本明細書に記載される方法は、しかしながら、超臨界環境内におけるエッチングに必ずしも限定されない。特に、ブロック14に概説される選択的エッチングプロセスは、代わりとして、液体状態の低/無表面張力流体を使用してマイクロエレクトロニクス・トポグラフィの層をエッチングすることを含んでよい。このような場合、エッチングプロセス中および/またはその後に、デバイス構造を取り巻くマイクロエレクトロニクス・トポグラフィ上に液体の残余物が残留するであろう。上述のように、液体の表面張力ゆえに、残余物は、デバイス構造を崩壊させる可能性がある。本明細書に記載される方法は、しかしながら、図1のブロック24〜30に概説されるとともに後ほどさらに詳しく説明される特徴崩壊を抑制するための一連のプロセス工程をマイクロエレクトロニクス・トポグラフィに受けさせることによって、このような有害な影響を回避する。
【0017】
プロセスチャンバのおよび/または本明細書に記載される方法を実施するタイミングの複雑性を和らげるには、なかでも特に、トポグラフィをすすぐ(リンスする)ためのおよび/またはプロセスチャンバをベントするための後続の処理中に流体が使用される場合は、エッチング溶液の低/無表面張力流体が比較的達成が容易な熱力学的臨界点を有すると(すなわち、比較的低い臨界温度および臨界圧力を有すると)有利であろう。後ほどさらに詳しく明記されるように、図1のブロック30に関連して説明されるベントプロセスに先立って、本明細書に記載される方法中のどこかの時点で、プロセスチャンバ内に超臨界雰囲気が確立される。このように、エッチングプロセスのために使用される低/無表面張力流体がすすぎプロセスおよびベントプロセスのそれと同じである実施形態では、比較的達成が容易な熱力学的臨界点を有する流体が望ましいであろう。典型的な流体としては、二酸化炭素および六フッ化硫黄が挙げられるがこれらに限定はされない。
【0018】
二酸化炭素は、31℃という比較的低い臨界温度を有するので、ブロック14に関連したマイクロエレクトロニクス・トポグラフィのエッチングのための低/無表面張力流体として、そして場合によってはブロック12に関連にしたプロセスチャンバの加圧のためのおよび/またはブロック18に関連したトポグラフィのすすぎのための低/無表面張力流体としても、二酸化炭素を使用すると特に有益であろう。特に、加熱のメカニズム(すなわち、プロセスチャンバ内部の熱交換器またはヒータ)が最小限に抑えられるように、処理に必要とされる温度を最低限に抑えることが望ましいであろう。さらに、二酸化炭素は、比較的達成が容易な熱力学的臨界点を有するその他の流体と比べて安価であり、ゆえに、この理由だけをとっても、マイクロエレクトロニクス・トポグラフィをエッチングするための低/無表面張力流体として、そして場合によってはプロセスチャンバの加圧のためのおよび/またはトポグラフィのすすぎのための低/無表面張力流体としても、二酸化炭素を用いることが望ましいであろう。
【0019】
上記のように、マイクロエレクトロニクス・トポグラフィをエッチングするために使用される低/無表面張力流体は、液体状態または超臨界状態にあってよく、これは、概して、プロセスチャンバ内部の圧力および温度に依存する。このような現象を説明するために、図2に、二酸化炭素の圧力温度相図が描かれている。マイクロエレクトロニクス・トポグラフィをエッチングするためのエッチング溶液中に例えば二酸化炭素が使用されるとき、プロセスチャンバが加圧される典型的な圧力範囲は、およそ800psigとおよそ4000psigとの間であってよい。一部の実施形態では、マイクロエレクトロニクス・トポグラフィをエッチングするためのエッチング溶液中に二酸化炭素が使用されるとき、プロセスチャンバ圧力範囲は、およそ800psigとおよそ2900psigとの間であってよい。プロセスチャンバ内の二酸化炭素の温度がその臨界温度を超えており、それゆえに超臨界状態が達成されている場合は、プロセスチャンバが加圧される典型的な圧力範囲は、およそ1100psigとおよそ4000psigとの間であってよく、より詳細にはおよそ1500psigとおよそ2900psigとの間であってよい。
【0020】
一般に、およそ1000psigを超える圧力を生成するとともにこのような圧力に持ちこたえるように構成されたプロセスチャンバのための加熱のメカニズムは、なかでも特に、高温要件の場合に複雑になると考えられる。さらに、プロセスチャンバを加熱するために必要とされるエネルギの量は、概して、温度要件に伴って指数関数的に増加するであろう。このように、一部の実施形態では、特定状態の低/無表面張力流体を達成するためにプロセスチャンバを加熱する温度を最低限に抑えると有利であろう。例えば、トポグラフィをエッチングするためのエッチング溶液中に二酸化炭素が使用されるときは、プロセスチャンバの加熱をおよそ60℃未満の温度に、場合によってはおよそ40℃未満の温度に制限すると有利であろう。ただし、より高い温度が用いられてもよい。マイクロエレクトロニクス・トポグラフィの層をエッチングするためのエッチング溶液中に二酸化炭素が液体状態で使用される場合は、プロセスチャンバの加熱をおよそ30℃未満の温度に、場合によってはおよそ20℃未満の温度に制限すると有利であろう。
【0021】
上記の低/無表面張力流体に加えて、選択的エッチングプロセスは、トポグラフィの層を除去するために適用可能な追加の化学物質を含む。典型的な化学物質としては、例えば、CF4および/またはCHF3など、塩素またはフッ素をベースにしたプラズマエッチャントが挙げられる。あるいは、低/無表面張力流体に溶解可能なフッ化水素(HF)を含む液体エッチング溶液が使用されてよい。例えば、(全て重量にして)1つまたは2つ以上の極性共溶媒とのバランスでおよそ0.1%からおよそ10%のHFとおよそ0.1%からおよそ10%の水とを含む溶液が、低/無表面張力流体に追加されてよい。HFを含むその他の組成の液体エッチング溶液も検討されてよい。例えば、一部の実施形態では、液体エッチング溶液は、フッ化アンモニウムなどの緩衝材をおよそ0.1%からおよそ10%までの間の重量濃度で含んでよい。追加または代わりとして、エッチング溶液中にピリジン付加物が含まれてもよい。いずれにせよ、追加の化学物質は、低/無表面張力流体が追加されて(加圧プロセスを通じてなどによって)指定の液体状態または超臨界状態が確立された後に、プロセスチャンバに追加されてよい。その他の実施形態では、しかしながら、追加の化学物質は、チャンバに導入される前に低/無表面張力流体と合わされてよい。このような場合、低/無表面張力流体は、チャンバに導入される際に液体状態または気体状態にあってよく、もしそのような場合は、プロセスチャンバ内において指定の液体状態または超臨界状態に変換されてよい。
【0022】
一般に、本明細書に記載される方法の対象になりえるマイクロエレクトロニクス・トポグラフィとしては、単結晶シリコン基板、ガリウム−ヒ素基板、リン化インジウム基板、シリコン−ゲルマニウム基板、シリコン・オン・インシュレータ基板、またはシリコン・オン・サファイア基板などの半導体基板が挙げられる。半導体基板は、n型またはp型のいずれかにドープされてよく、一部の実施形態では、その中に拡散領域および/または分離領域が形成されてよい。場合によっては、マイクロエレクトロニクス・トポグラフィは、半導体基板の上および上方に、かつ後述されるデバイス構造および犠牲層の下に形成される構造と層とを含むであろう。半導体基板の上および上方に形成される構造や層としては、誘電体層、メタライゼーション層、ゲート構造、コンタクト構造、ビア、または局所的な相互接続配線が挙げられるがこれらに限定はされない。
【0023】
後ほど明記されるように、本明細書に記載される方法は、犠牲層に包み込まれたデバイス構造を有するマイクロエレクトロニクス・トポグラフィに特に適用可能であろう。特に、本明細書に記載される方法は、マイクロエレクトロニクス・トポグラフィの上面を構成する犠牲層をその犠牲層に包み込まれたデバイス構造の側壁表面が露出されるように選択的に除去するやり方を提供するのに、およびこのような処理中におけるデバイス構造の特徴崩壊をさらに阻止するのに特に適しているであろう。しかしながら、本明細書に記載される方法は、そのように限定はされないことが留意される。特に、本明細書に記載される方法は、別の構成材料に対して選択的にエッチングされるべき材料を有する任意のマイクロエレクトロニクス・トポグラフィに適用可能であろう。特に、本明細書に記載される方法は、以下で論じられる材料および構造を含むトポグラフィに必ずしも限定されない。
【0024】
上記のように、本明細書に記載される方法の対象になりえるマイクロエレクトロニクス・トポグラフィは、一部の実施形態では、半導体基板の上方に形成された犠牲層に包み込まれた複数のデバイス構造を含む。デバイス構造の材料は、半導体業界において導電性デバイス構造用に使用される任意の材料を含んでよく、ポリシリコン、アルミニウム、銅、チタン、窒化チタン、タングステン、および/またはそれらの任意の合金が挙げられるがこれらに限定はされない。本明細書に記載される方法は、任意の寸法のデバイス構造を有するトポグラフィに適用されてよいが、およそ10:1以上のアスペクト比を持つデバイス構造は、概して特徴崩壊を生じやすいので、本明細書に記載される方法は、このようなアスペクト比を持つデバイス構造を有するトポグラフィに特に適用可能であろう。本明細書で使用される「アスペクト比」という用語は、概して特徴の高さ対幅の比をいうことができる。デバイス構造の典型的な幅は、およそ10nmからおよそ250nmまでの間であってよく、デバイス構造間の典型的な間隔は、およそ10nmからおよそ100nmまでの間であってよい。しかしながら、特に、デバイス寸法の縮小に向かう技術の発展に伴って、その他の寸法の幅および/または間隔も検討されてよい。必ずしもそのように限定はされないが、一部の実施形態では、デバイス構造は、ダマシンプロセスによって形成されてよい。特に、デバイス構造の材料は、犠牲層のトレンチ内に堆積されてよく、トポグラフィは、犠牲層の上面上のデバイス構造材料の部分を除去するために引き続き研磨されてよい。
【0025】
概して、犠牲層は、デバイス構造の材料に対して選択的に除去されえる任意の材料を含んでよい。犠牲層の典型的な材料として、二酸化シリコン(SiO2)、テトラオルトシリケートガラス(TEOS)、シリコン酸窒化物(SiOxy(Hz))、二酸化シリコン/窒化シリコン/二酸化シリコン(ONO)、または概して任意の酸化物層が挙げられるがこれらに限定はされない。本明細書で使用される「酸化物層」という用語は、概して、酸素原子を組み込まれて含む層をいうことができる。後ほどさらに詳しく明記されるように、本明細書に記載される一部の方法は、犠牲層が酸化物層を含むプロセスに特に適用可能であろう。より詳細には、酸化物層を含む層のエッチングは、酸化物エッチング副生成物を発生させるので、エッチング溶液および/またはすすぎ溶液がマイクロエレクトロニクス・トポグラフィの周囲の溶解酸化物エッチング副生成物を変性させるように化学的に構成された実施形態は、犠牲層が酸化物層を含む場合に特に適用可能であろう。このような場合のエッチング溶液および/またはすすぎ溶液の化学的構成の詳細は、ブロック20に関連して後ほどさらに詳しく説明される。その組成にかかわらず、犠牲層は、ドープまたは非ドープであってよい。このように、一部の実施形態では、犠牲層として、ボロホスホシリケートガラス(BPSG)、ホスホシリケートガラス(PSG)、またはフッ素シリケートガラス(FSG)が挙げられる。
【0026】
一般に、選択的エッチングプロセスは、デバイス構造の側壁表面が露出されるように、それらのデバイス構造に隣接する部分の犠牲層を除去してよい。場合によっては、選択的エッチングプロセスは、デバイス構造が自立するように実施されてよい。いずれにせよ、選択的エッチングプロセスは、マイクロエレクトロニクス・トポグラフィ全域の犠牲層全体を除去してよい、またはデバイス構造付近にある一部の犠牲層のみを除去してよい。後者の実施形態では、マイクロエレクトロニクス・トポグラフィ内に残ることを予定された部分の犠牲層(すなわち、デバイス構造付近にない部分の犠牲層)が、選択的エッチングプロセスに備えてマスクで覆われてよい。
【0027】
ブロック16に記されるように、本明細書に記載される方法は、一部の実施形態では、プロセスチャンバにエッチング溶液が導入されるのとおおよそ同じ速さでプロセスチャンバをベントすることを含んでよい。このような同時プロセスは、二重に「フロー・スループロセス」ということができ、プロセスチャンバをベントするのと同時にエッチング溶液の新鮮な組成をプロセスチャンバに導入することを含む。「新鮮な組成」という表現は、概して、プロセスチャンバに通される処理をこれまで経ていないエッチング溶液をいうことができ、ゆえに、リサイクルされたエッチング溶液を含まない。エッチング溶液の新鮮な組成を導入するフロー・スループロセスは、エッチングプロセスの結果として生じる副生成物がプロセスチャンバから効率良く除去されることを有利に可能にする。副生成物は、プロセスチャンバ内に長居しないので、マイクロエレクトロニクス・トポグラフィ上に沈殿しにくくなる。ブロック14とブロック16とをつなぐ破線およびブロック16を縁取る破線によって記されるように、ベントプロセスは、随意であり、ゆえに、場合によっては、本明細書に記載される方法から省略されてよい。特に、ブロック14に関連して説明されるエッチングプロセスは、代わりに、プロセスチャンバに一括の量のエッチング溶液を導入すること、およびその一括の量を使用してマイクロエレクトロニクス・トポグラフィを処理することを含んでよい。さらに別の実施形態では、エッチング溶液は、エッチングプロセス中にリサイクルされてよい。
【0028】
図1のブロック18に示されるように、方法は、選択的エッチングプロセスに続いてプロセスチャンバにすすぎ溶液を導入することを含む。すすぎ(リンス)プロセスは、概して、残留エッチング溶液および/またはエッチング副生成物を、トポグラフィから除去する、変性させる、および/または希釈するために使用されてよく、さらに、一部の実施形態では、トポグラフィ上にありえる任意の液体の表面張力を引き下げるための過渡的なすすぎとして機能してよい。後ほど明記されるように、すすぎ溶液は、ブロック14に関連して説明されたエッチング溶液と同様に、液体状態または超臨界状態のいずれかにある、少なくとも1つの低/無表面張力流体[すなわち、かなり低い(例えば、およそ30ダイン/cm未満の)表面張力を有する(もしくは達成する能力を有する)流体、または表面張力を有さない流体]を含む。やはり後記のように、すすぎ溶液は、液体、気体、またはプラズマの状態にある追加の化学物質を含んでよく、ゆえに、すすぎ溶液は、その他の流体を含んでよい。いずれにせよ、すすぎプロセスの継続時間は可変であるが、概して、およそ60秒未満であってよい。
【0029】
一部の実施形態では、すすぎ溶液の低/無表面張力流体は、エッチング溶液中に使用される低/無表面張力流体と異なってよい。その他の場合では、しかしながら、すすぎ溶液の低/無表面張力流体は、エッチング溶液中に使用されるのと同じ低/無表面張力流体を含んでよい。このような実施形態では、なかでも特に、エッチングプロセスが図1のブロック16に関連して上で論じられたようなフロー・スループロセスを含む実施形態では、エッチング溶液とすすぎ溶液との間の共通性が、エッチングプロセスからすすぎプロセスへの滑らかな移行を促進するであろう。より詳細には、エッチングプロセスは、プロセスチャンバへの任意の追加エッチング化学物質(すなわち、低/無表面張力流体の追加として導入される化学物質)の導入を止めることによって終了されてよく、したがって、すすぎプロセスは、プロセスチャンバへの低/無表面張力流体の継続的導入によって開始されてよい。あるいは、すすぎプロセスの開始は、エッチングプロセスの終了から遅らされてよい。
【0030】
いずれにせよ、プロセスチャンバのおよび/または本明細書に記載される方法を実施するタイミングの複雑性を和らげるには、一部の実施形態では、すすぎ溶液の低/無表面張力流体が比較的達成が容易な熱力学的臨界点を有すると(すなわち、比較的低い臨界温度および臨界圧力を有すると)有利であろう。特に、後ほどさらに詳しく明記されるように、図1のブロック30に関連して説明されるベントプロセスに先立って、本明細書に記載される方法中のどこかの時点で、プロセスチャンバ内に超臨界雰囲気が確立される。このように、すすぎプロセスのために使用される低/無表面張力流体がベントプロセスのそれと同じである実施形態では、比較的達成が容易な熱力学的臨界点を有する流体が望ましいであろう。典型的な流体としては、二酸化炭素および六フッ化硫黄が挙げられるがこれらに限定はされず、場合によっては、ブロック14に概説されるエッチングプロセスについて説明されたのと同様の理由で、具体的に、二酸化炭素が使用されてよい。一部の実施形態では、すすぎ溶液の低/無表面張力流体は、その熱力学的臨界点のおよそ90%を超える温度および圧力にあると有利であろう。特に、このような熱力学的処理範囲は、後ほどさらに詳しく説明されるブロック24に概説されるプロセスのために流体が使用されるときに、超臨界状態の低/無表面張力流体の純雰囲気を引き続き確立するために必要とされる時間を短縮するのに役立つであろう。
【0031】
一部の実施形態では、すすぎプロセスは、単一のすすぎ配合設計(すなわち、すすぎプロセス中にその組成を変更されない単一の配合設計)を採用してよい。あるいは、すすぎプロセスは、プロセスチャンバに逐次導入され、ゆえにマイクロエレクトロニクス・トポグラフィに逐次曝される、複数の異なるすすぎ配合設計を採用してよい。例えば、すすぎプロセスは、低/無表面張力流体の濃度が様々なすすぎ配合設計をプロセスチャンバに逐次導入することを含んでよい。さらに別の場合、すすぎプロセスは、(例えば、溶液中の低/無表面張力流体の濃度を徐々に変化させることによって)プロセスチャンバン導入されるすすぎ溶液の組成を徐々に変化させることを含んでよい。このようにすると、マイクロエレクトロニクス・トポグラフィの環境内の流体の表面張力は、徐々にではなく直線的に変化されるであろう。特に、すすぎプロセスの進行に伴った、プロセスチャンバに導入される低/無表面張力流体の濃度の徐々におこる変化は、プロセスチャンバ内のすすぎ溶液が実質的に同質になることを有利に可能にできると考えられ、ゆえに、異なるすすぎ配合設計間の明確な区切りが回避されるであろう。これは、後ほどさらに詳しく説明されるように、なかでも特に、低/無表面張力流体が液体状態のときに、特徴崩壊を阻止するのに役立つであろう。
【0032】
いずれにせよ、(1つまたは2つ以上の)すすぎ配合設計は、一部の実施形態では、すすぎプロセスの進行に伴って、プロセスに導入される低/無表面張力流体の濃度を増加させるように構成されてよい。特に、すすぎプロセスの進行に伴った、プロセスチャンバに導入される低/無表面張力流体の濃度の段階的なすなわち徐々の増加は、図1のブロック24に概説される、超臨界状態の低/無表面張力流体の純雰囲気を確立するプロセスに流体が使用されるときに、このようなプロセスと、図1のブロック18に概説されるすすぎプロセスとの間の容易な移行を促進するであろう。なお、プロセスチャンバに導入されるすすぎ配合設計の数は、概して製造プロセスの設計仕様に依存してよいこと、およびそれゆえに用途によって可変であることが留意される。
【0033】
上記のように、すすぎ溶液は、追加の化学物質(すなわち、低/無表面張力流体以外の成分)を含んでよい。場合によっては、このような追加の化学物質は、溶解されたエッチング副生成物がマイクロエレクトロニクス・トポグラフィ上に沈殿することを阻止するのに役立つであろう。例えば、すすぎ溶液は、ブロック22に記されるように、低/無表面張力流体と混合される1つまたは2つ以上の極性共溶媒を随意として含んでよい。特に、エッチングプロセスから生成されるエッチング副生成物は、一部の実施形態では、極性プロトン性の種であることがある。さらに、溶解エッチング副生成物のなかには、時間の経過とともに自己凝集して架橋し、非極性環境内におけるそれらの可溶性をさらに下げる傾向を持つものがある。例えば、酸化物層のエッチングから生成される溶解酸化物前駆体は、概して、このような傾向を示す極性プロトン性の種である。このような種の可溶性は、すすぎプロセスに使用される非極性の低/無表面張力流体に1つまたは2つ以上の極性共溶媒を追加することによって増すと考えられ、したがって、自己凝集する傾向を減少させるであろう。特に、低/無表面張力流体への1つまたは2つ以上の極性共溶媒の統合は、流体の極性を、溶解エッチング副生成物にとってより優れた溶媒になるように引き上げる。すすぎ溶液中の1つまたは2つ以上の極性共溶媒の濃度は、用途に応じて可変であるが、典型的な濃度範囲は、重量にしておよそ5%とおよそ40%との間であってよい。しかしながら、より高いまたは低い濃度も検討されてよい。1つまたは2つ以上の極性共溶媒は、ブロック22に記されるように、酸、極性アルコール、および/または水を含んでよい。
【0034】
極性共溶媒が酸を含む場合は、ブロック14に関連して説明されたエッチングプロセスで使用されるエッチング溶液のpKaよりも低いpKaを有する酸が有利であろう。特に、このようなpKa値を有する酸は、溶解エッチング前駆体の初期凝集を抑制するのに十分な極性を低/無表面張力流体に提供するであろう。酸が選択される典型的なpKa範囲は、用途に依存してよいが、ブロック14に関連して上述されたエッチング溶液と比較すると、およそ6.4未満の、場合によってはおよそ3.5未満のpKaを有する酸が特に検討されるであろう。検討されえる典型的な酸として、トリフルオロ酢酸、酢酸、トリフルオロメタンスルホン酸、メタンスルホン酸、安息香酸、硝酸、スルホン酸、および塩酸が挙げられる。追加または代わりとして、すすぎ溶液のための低/無表面張力流体に水が混合されてよい。水は、極性共溶媒として機能するのに加えて、エッチング副生成物の凝集を、この脱水プロセスを熱力学的に起こりにくくすることによって阻止するのにも役立つであろう。その他の実施形態では、すすぎ溶液の1つまたは2つ以上の極性共溶媒は、追加または代わりとして、メタノール、エタノール、およびイソプロパノールを含むがこれらに限定されない極性アルコールを含んでよい。
【0035】
図1のブロック20に記されるように、マイクロエレクトロニクス・トポグラフィ上へのエッチング副生成物の沈殿を抑制する追加のまたは代わりのやり方は、エッチング溶液および/またはすすぎ溶液を溶解エッチング副生成物を変性させるように化学的に構成することを含んでよい。特に、エッチングプロセス中におよび/またはすすぎプロセス中に、マイクロエレクトロニクス・トポグラフィの周囲のエッチング副生成物の可溶性が増すように、エッチングプロセスおよびすすぎプロセスの一方または両方の少なくとも途中一定期間にわたって、および場合によっては全継続時間にわたって、エッチングプロセスからの既知の副生成物を変性させるように構成された化学物質が追加されてよい。この変性用化学物質とエッチング副生成物との相互作用は、エッチング副生成物が凝集してマイクロエレクトロニクス・トポグラフィ上に沈殿しようとする傾向を小さくする。エッチング溶液中および/またはすすぎ溶液中の変性用化学物質の濃度は、用途によって可変であるが、典型的な濃度範囲として、重量にして、最大およそ10%が挙げられる。しかしながら、より高い濃度も検討されてよい。化学物質とエッチング副生成物との相互作用は、共有結合性または非共有結合性であってよい。
【0036】
例えば、本明細書に記載される方法を使用してマイクロエレクトロニクス・トポグラフィの酸化物層がエッチングされる場合は、エッチングプロセス中に、および場合によってはすすぎプロセス中に、マイクロエレクトロニクス・トポグラフィの周囲に溶解ヒドロキシシラン複合体(Si(OH)4)などの溶解酸化物エッチング副生成物が残留するであろう。後記のように、溶解ヒドロキシシラン複合体(Si(OH)4)は、酸化物層をエッチングするために使用されるフッ素ベースのエッチングプロセスの結果として生じるであろう。しかしながら、変性用化学物質は、溶解ヒドロキシシラン複合体を変性させるために、厳密にはそれらの凝集および沈殿を抑制するために、エッチングプロセス中および/またはすすぎプロセス中にマイクロエレクトロニクス・トポグラフィの周囲に追加されてよい。典型的な変性用化学物質として、シラザン、クロロシラン、ヒドロキシシラン、アルコキシシラン、塩化チオニル、酸無水物、カルボン酸、イソシアン酸塩、アミン、アンモニウム塩、アルコール、エーテル、および表面活性剤が挙げられるがこれらに限定はされない。場合によっては、ヒドロキシシランの反応を促進するために、酸、塩基、または様々な触媒が追加されてよい。上述のような反応性部分を有する変性用化学物質に加えて、化学物質は、エッチングプロセスおよび/またはすすぎプロセスのために使用される低/無表面張力流体への溶解を促す基を含む非反応性部分も含んでよい。典型的な非反応性部分として、炭化水素、フッ化水素、およびシリコーンが挙げられる。場合によっては、非反応性部分は、ヒドロキシシランの凝集の阻止をさらに助けるために、立体的にかさばっていてよい。
【0037】
場合によっては、変性用化学物質とエッチング副生成物との間の相互作用の熱力学および動力学を最適化することが特に有利であろう。特に、反応の熱力学および動力学の最適化は、概して、副生成物の沈殿を抑制する変性用化学物質の有効性を最大にするであろう。相互作用の熱力学を向上させるには、エッチング副生成物との反応性が高い官能基が使用されてよい。例えば、ヒドロキシシラン複合体との反応には、シラザンおよびクロロシランが熱力学的に好都合であろう。相互作用の動力学に対して好都合であるには、変性用化学物質が大幅に余剰であると有益であろう。例えば、1リットルの圧力容器内において、厚さ1μmの酸化物をコーティングされた300mmウエハがエッチングされる場合は、溶解酸化物のモル濃度は、およそ0.01Mであるはずである。このような例では、エッチング溶液中および/またはすすぎ溶液中の変性用化学物質のモル濃度は、エッチング副生成物が沈殿しないように化学物質とエッチング副生成物との間に十分な動力学的相互作用を提供するために、およそ0.1Mを超えるであろう。また、(ブロック16に関連してエッチングプロセスについて上述されたような)エッチングプロセスおよび/またはすすぎプロセスにおけるフロー・スループロセスの採用もまた、基板表面からエッチング副生成物がそれらの形成と同時に一掃されることおよびマイクロエレクトロニクス・トポグラフィの周囲におけるそれらの局在的な絶対濃度が減少されることによって、動力学的に好都合であろう。
【0038】
エッチング副生成物との反応性が高い変性用化学物質を使用することに加えて、変性用化学物質は、自身と反応性でないとさらに有益である。しかしながら、もし自己反応性の化学物質が使用されるならば、二量体のみが形成されるように、単官能基変性用化学物質が使用されると好ましいであろう。例えば、アルコキシシラン官能基を含む変性用化学物質は、ヒドロキシシラン基と適度に反応性であると考えられるが、自己凝集もするであろう。一分子あたり2つ以上の自己凝集可能官能基を含むジアルコキシシランおよびトリアルコキシシランは、低/無表面張力流体中における可溶性を維持する見込みがほとんどないオリゴマ形成および/または架橋シランにつながる可能性が高い。したがって、アルコキシシランまたは同様の自己反応性の変性用化学物質をエッチング溶液中またはすすぎ溶液中に使用するときは、それらの自己凝集反応から形成されるシラン二量体が低/無表面張力流体中における可溶性を尚も維持するはずであるゆえに、モノアルコキシシランが好ましいであろう。同様な理由付けは、概して自己反応性であるクロロシランにも当てはまり、ゆえに、モノクロロシランも好ましいであろう。
【0039】
従来の処理においてフッ素ベースのエッチングプロセスの酸化物エッチング生成物が何故にマイクロエレクトロニクス・トポグラフィ上に凝集および沈殿しやすいかに関する説明が、以下で概説される。ブロック20および22に関連して上で論じられた溶液のヴァリエーションは、酸化物エッチング副生成物の凝集および沈殿を阻止するのに適用可能であろうが、本明細書に記載される方法は、そのように限定はされないことが留意される。特に、エッチング副生成物の沈殿を抑制することについてブロック20および22(ならびに上のブロック16)に関連して論じられた着想の一般概念は、使用されるエッチング溶液およびエッチングされている層の材料組成に応じた任意の組成のエッチング生成物の沈殿を阻止するのに適用されるであろう。さらに、ブロック16、20、および22に関連して論じられたプロセスは、必ずしも相容れないものではない。特に、本明細書に記載される方法は、製造プロセスの設計仕様に応じてこのようなプロセスの任意の組み合わせまたはこのようなプロセスの任意の1つを採用してよい。
【0040】
酸化物層エッチングプロセスでは、使用されるフッ素ベースのエッチング化学物質に関係なくエッチング副生成物の1つとしてSiF4が生成される。SiF4は、式1に概説されるように、水と素早く反応してヒドロキシシラン複合体(Si(OH)4)を形成する。
SiF4 + 4H2O → Si(OH)4 + 4HF (1)
【0041】
非極性環境内におけるその限られた可溶性ゆえに、ヒドロキシシラン複合体は、式2に記されるように、その他のヒドロキシシランと凝集してシランオリゴマ(すなわち、(HO)3Si-O-Si(OH)3)を形成し始める。
Si(OH)4 + Si(OH)4 → (HO)3Si-O-Si(OH)3 (2)
【0042】
シランオリゴマは、式3に記されるように、凝集を続けて酸化物(すなわち(Si-O)n)を再形成し、溶液から沈殿する可能性がある。
(HO)3Si-O-Si(OH)3 + n(HO)3Si-O-Si(OH)3
→ (Si-O)n + nH2O (3)
【0043】
ブロック16、20、および22に関連して論じられたプロセスおよび/または溶液の変性は、しかしながら、酸化物エッチングプロセス中およびその後におけるマイクロエレクトロニクス・トポグラフィ上への酸化物エッチング副生成物の凝集および沈殿を抑制することを示している。
【0044】
上記のように、エッチングプロセスおよび/またはすすぎプロセスは、場合によっては、液体状態の流体を使用して実施されてよい。このような場合、エッチングプロセス中および/またはその後に、デバイス構造を取り巻くマイクロエレクトロニクス・トポグラフィ上に1つまたは2つ以上の液体の残余物が残留するであろう。上記のように、液体の表面張力ゆえに、残余物は、実施形態によっては、デバイス構造を崩壊させるであろう。本明細書に記載される方法は、しかしながら、図1のブロック24〜30に概説されるとともに後ほどさらに詳しく説明される特徴崩壊を抑制するための一連のプロセス工程をマイクロエレクトロニクス・トポグラフィに受けさせることによって、このような有害な影響を回避する。
【0045】
ブロック24〜30に概説される一連の工程に加えて、特徴崩壊を阻止するやり方は、1つには、プロセスチャンバ内に超臨界雰囲気を確立する前に、デバイス構造を液体内に浸漬された状態に維持することである。特に、このような予防措置は、マイクロエレクトロニクス・トポグラフィが時期尚早に乾燥されることを回避するであろう、且つ/またはマイクロエレクトロニクス・トポグラフィのデバイス構造が異なる媒質間の界面張力に曝されることを回避するであろう。特徴間隔が狭まるおよびデバイス構造のアスペクト比が高まる(例えばおよそ20:1以上のレベル)につれて、界面張力は、トポグラフィの乾燥前にデバイス構造を崩壊させる恐れがでてくると想定される。より詳細には、一部の実施形態では、デバイス構造を液気界面または液液界面に曝すだけで特徴崩壊の可能性が高まる恐れがあると仮定される。このように、マイクロエレクトロニクス・トポグラフィの時期尚早な乾燥を許容することが特徴崩壊に寄与する唯一の要因ではないと考えられる。
【0046】
マイクロエレクトロニクス・トポグラフィのデバイス構造を浸漬させるために必要とされる液体の量は、概して、用途によって可変である。一部の実施形態では、しかしながら、デバイス構造の上面が少なくともおよそ3mm、場合によってはおよそ3mmからおよそ25mmまでの間、より詳細にはおよそ5mmからおよそ12mmまでの間、液気界面よりも下方にあると特に有利であろう。理論に縛られることなく、このような浸漬範囲は、プロセスチャンバ内に超臨界雰囲気が確立される前にマイクロエレクトロニクス・トポグラフィが乾燥されることおよび/またはその上のデバイス構造が露出されることを阻止するのに十分であろうと仮定される。場合によっては、しかしながら、より小さい浸漬緩衝域も検討されてよい。一部の実施形態では、エッチング溶液および/またはすすぎ溶液は、追加または代わりとして、マイクロエレクトロニクス・トポグラフィのデバイス構造の周囲における液液界面の形成を阻止するように構成されてよい。特に、エッチング溶液および/またはすすぎ溶液は、一部の実施形態では、表面活性剤などの、2つの流体の分散力を増大させる材料を含んでよい。さらに別の実施形態では、エッチングプロセスおよびすすぎプロセスは、超臨界状態の流体の存在下において実施されてよい。
【0047】
液体へのデバイス構造の浸漬は、本明細書に記載される方法に必ずしも必要ではないことが留意される。特に、これらの方法は、代わりとして、液体配合設計がデバイス構造の上面よりも下方にくるようにエッチング溶液および/またはすすぎ溶液をマイクロエレクトロニクス・トポグラフィに加えることを含んでよい。より詳細には、プロセスチャンバ内に超臨界雰囲気が確立される前にマイクロエレクトロニクス・トポグラフィが乾燥されるおよび/またはデバイス構造が露出される可能性、ならびにそれゆえにデバイス構造が特徴崩壊を起こしやすくなる可能性は、デバイス構造の特徴間隔および/またはアスペクト比に依存するであろうと理論化される。特に、本明細書に記載される方法および溶液の開発において、特徴崩壊は、デバイス構造が液体配合設計に浸漬されなかった全ての場合に発生したわけではなく、ただし、デバイス構造の特徴間隔が小さいほど、かつ/またはアスペクト比が大きいほど頻繁であるように見えた。デバイス構造の浸漬を必要とする特徴間隔およびアスペクト比の具体的な範囲は調査されなかったが、本明細書に提供される教示内容に基づくと、当業者がこのために必要以上の実験の努力を割く必要はないであろうことが留意される。このように、デバイス構造の浸漬は、必ずしも必要ではなく、むしろ、本明細書に記載されるエッチングプロセスおよび/またはすすぎプロセスのための随意の行為として提示される。
【0048】
上記のように、そして図1のブロック26に記されるように、方法は、ブロック30においてプロセスチャンバ内の低/無表面張力流体が、同ブロックに関連して記載されるベントプロセスに備えて臨界温度以上にあるように、図1のブロック10〜30に概説される一連のプロセスのどこかの時点でプロセスチャンバ内に加熱環境を提供することを含む。このように、プロセスチャンバ内の低/無表面張力流体は、ベントプロセスに備えて臨界状態をとるであろう。例えば、プロセスチャンバは、プロセスチャンバ内に二酸化炭素があるときはおよそ31℃以上の温度に加熱されてよく、あるいはプロセスチャンバ内に六フッ化硫黄があるときは45.5℃以上の温度に加熱されてよい。
【0049】
上記のように、本明細書に記載される圧力を生成するとともにこのような圧力に持ちこたえるように構成されたプロセスチャンバのための加熱のメカニズムは、なかでも特に、高温要件の場合に複雑になると考えられる。さらに、プロセスチャンバを加熱するために必要とされるエネルギの量は、概して、温度要件に伴って指数関数的に増加するであろう。このように、一部の実施形態では、超臨界状態の低/無表面張力流体を達成するためにプロセスチャンバを加熱する温度を最低限に抑えると有利であろう。例えば、プロセスチャンバ内において二酸化炭素が使用されるときは、プロセスチャンバの加熱をおよそ31℃からおよそ60℃までの間の温度に、場合によってはおよそ31℃からおよそ40℃までの間の温度に制限すると有利であろう。ただし、より高い温度が用いられてもよい。場合によっては、ブロック30に関連して説明されるベントプロセスのために低/無表面張力流体の超臨界状態が確実に維持されるように、プロセスチャンバを低/無表面張力流体の臨界温度よりも1℃または2℃以上高い温度範囲に加熱すると有利であろう。例えば、プロセスチャンバ内において二酸化炭素が使用されるときは、プロセスチャンバをおよそ35℃からおよそ40℃までの間の温度範囲に加熱すると有利であろう。その他の温度範囲も検討されてよい。
【0050】
一部の実施形態では、加熱環境を提供するプロセス(すなわちブロック26)は、ブロック12におけるプロセスチャンバの加圧に引き続き実施されてよい。言い換えると、プロセスチャンバを加圧するプロセスは、このようなプロセスにおいて使用される低/無表面張力流体の臨界温度よりも下の温度範囲で実施されてよい。このような実施形態では、低/無表面張力流体は、プロセスチャンバ内において飽和蒸気圧が達成されたときに液体状態に変換する。二酸化炭素によってこのようにプロセスチャンバを加圧するための典型的な温度範囲は、概して、およそ30℃未満の、より厳密にはおよそ0℃からおよそ20℃までの間の温度で二酸化炭素をプロセスチャンバに導入することを含んでよい。プロセスチャンバ内において飽和蒸気圧が達成された後のどこかの時点で、プロセスチャンバの温度は、低/無表面張力流体の臨界温度以上の温度に上昇されてよい。臨界温度を達成する時点で、低/無表面張力流体は、超臨界状態に変換される。超臨界状態、ならびにそれゆえの、低/無表面張力流体の熱力学的臨界点以上の温度および圧力は、少なくとも、ブロック30に関連して後ほど説明されるベントプロセスが実施されるまで維持されることが好ましい。
【0051】
その他の実施形態では、プロセスチャンバは、所定の流体の臨界温度に予め(すなわち、低/無表面張力流体によってプロセスチャンバを加圧する前に、なおかつひいてはプロセスチャンバにマイクロエレクトロニクス・トポグラフィを入れる前に)加熱されてよい。このような実施形態の利点は、プロセスチャンバを加圧した後に、かつ/またはマイクロエレクトロニクス・トポグラフィを入れた後に加熱環境を提供する場合と比べて時間的効率が良いことにある。特に、プロセスチャンバは、比較的高い圧力を生成するとともにそのような圧力に持ちこたえる必要があるゆえに、かなり厚い壁を有するであろう。このようなプロセスチャンバ内の温度を上昇させるには、かなりの(例えば30分から60分の規模の)時間がかかる恐れがあり、これは、製造プロセスを大幅に遅れさせ、ゆえに、生産収率にとって望ましくないと考えられる。あるいは、加熱環境を提供する(すなわち、プロセスチャンバ内に臨界温度を確立する)プロセスは、プロセスチャンバの加圧(すなわち、ブロック12)と同時に実施されてよい。いずれにせよ、臨界温度は、その後、少なくとも、ブロック30に関連して後ほど説明されるベントプロセスが実施されるまで維持されてよい。このように、低/無表面張力流体は、プロセスチャンバ内において流体の臨界圧力が達成されたときに超臨界状態に変換されてその状態に留まる。
【0052】
プロセスチャンバ内の低/無表面張力流体がいつその臨界温度に加熱されるかにかかわらず、方法は、一部の実施形態では、超臨界状態の低/無表面張力流体の純雰囲気をプロセスチャンバ内に確立するためにブロック24に進んでよい。言い換えると、本明細書に記載される方法は、エッチングプロセスおよびすすぎプロセスに関連してプロセスチャンバにこれまでに加えられた補助的な溶液成分を持たない雰囲気をプロセスチャンバ内に確立することに進んでよい。このように、プロセスチャンバは、エッチングプロセス中およびすすぎプロセス中に加えられたあらゆる補助的な化学剤を洗い流されてよい。また、もし、ブロック18に関連して説明された先行するすすぎプロセスにおいて、低/無表面張力流体が超臨界状態にあるための条件が確立されなかった場合は、ブロック24は、そのような環境をプロセスチャンバ内に確立してよい。
【0053】
一部の実施形態では、ブロック24で言及される雰囲気を確立するために使用される低/無表面張力流体は、すすぎ溶液中に使用されるのと同じ低/無表面張力流体であってよい。このような場合、すすぎプロセスに使用される低/無表面張力流体の供給は、すすぎ用の付加物の供給を伴わずに継続されてよい。さらに別の実施形態では、ブロック24で言及される雰囲気を確立するために使用される低/無表面張力流体は、すすぎ溶液中に使用される低/無表面張力流体と異なってよい。特に、ブロック24で言及されるプロセスは、すすぎ溶液をプロセスチャンバから追い出すために、マイクロエレクトロニクス・トポグラフィを所定の期間にわたってすすぎ溶液と異なる流体に曝すことを含んでよい。このような場合、ブロック24のプロセスのために導入される流体は、プロセスチャンバ内におけるすすぎ溶液の圧力よりも大きい圧力であってよい。
【0054】
場合によっては、ブロック24で言及される雰囲気を確立するために使用される低/無表面張力流体は、一部の実施形態では、チャンバ内のすすぎ溶液と混ざり合わないものであってもよい。また、ブロック24で言及される雰囲気を確立するために使用される低/無表面張力流体は、場合によっては、プロセスチャンバ内のすすぎ溶液よりも低い密度、臨界温度、およびジュール・トムソン係数を有してよい。ブロック28に関連して後ほど明記されるように、このような特性を有する流体は、マイクロエレクトロニクス・トポグラフィ上の特徴を損傷させることなく大幅に速くプロセスチャンバ内の圧力を低減させられるという点で、ブロック30に関連したさらに高速なベントに適しているであろう。このような状況のために、ブロック24で言及される雰囲気を確立するために使用されえる典型的な流体として、ヘリウム、アルゴン、窒素、およびそれらの混合が挙げられるがこれらに限定はされない。このような場合、プロセスチャンバ内に超臨界状態の流体の純雰囲気がひとたび確立されれば、ブロック30のベントプロセス中に、ブロック28に関連して後ほど説明される恩恵がブロック24のプロセスによって実現されるので、ブロック24のプロセスとは別にブロック28のプロセスを用いる必要はなくなるであろう。
【0055】
一般に、ブロック24で言及されるプロセスは、プロセスチャンバをベントするのと同時に低/無表面張力流体を気体状態でプロセスチャンバに導入することを含んでよい。プロセスチャンバの温度に応じて、低/無表面張力流体は、液体状態または超臨界状態をとってよい。プロセスチャンバがその臨界温度にない場合は、プロセスチャンバは、低/無表面張力流体の温度を上昇させて、ブロック30に概説される後続のベントプロセスに備えて超臨界状態をとらせるために、時間を与えられてよい。あらゆる補助的化学剤を実質的に排除するのに十分な時間および超臨界状態を確立するための時間の経過後は、低/無表面張力流体の導入は、停止されてよく、ベントプロセスは、ブロック30に概説されるベントプロセスの一環として継続されてよい。
【0056】
ブロック30に概説されるベントプロセスは、超臨界流体を気体状態に変換させるため、またはフロー・スループロセスにおいてプロセスチャンバから超臨界流体を洗い流すためのいずれかに使用される。いずれにせよ、ブロック30のベントプロセスは、プロセスチャンバ内における液体の形成を阻止するのに十分なやり方で実施される。例えば、第1の筋書きでは、ブロック30のベントプロセスは、液相を形成することなく低/無表面張力流体が超臨界状態から気体状態に直接的に転移することを可能にする速さでプロセスチャンバをベントすることによって実施されてよい。特に、ベントの速さは、マイクロエレクトロニクス・トポグラフィに接触する液滴の形成につながる膨張冷却を回避するように制御されてよい。場合によっては、しかしながら、転移プロセスは、超臨界流体が二酸化炭素であるときなどは、時間がかかることがある。特に、超臨界二酸化炭素は、高いジュール・トムソン係数を有しており、これは、流体が気相に膨張するにつれて大量の熱が消費されることを意味する。これは、高速の(例えばおよそ1分未満の)ベントプロセスが望ましい場合に問題になる恐れがある。なぜならば、膨張に伴う冷却は、プロセスチャンバ内の圧力に応じて沸騰して気体になるまたは転移して超臨界相に戻る液体二酸化炭素の形成につながるからである。いずれにせよ、相転移は、マイクロエレクトロニクス・トポグラフィのデリケートな特徴に損傷を及ぼす恐れがある。
【0057】
ブロック30の加速ベントプロセスを実現する方法は、1つには、プロセスチャンバ内の超臨界流体が排出されるために異なる超臨界流体を使用することである。このようなプロセスの詳細な説明は、あたかも本明細書に完全に記載されているかのように引用によって本明細書に組み込まれるDeYoung et al.による米国特許第6,602,351号およびDeYoung et al.による米国特許第6,905,555号に提供されている。この随意のプロセスは、図1のブロック28に記されており、ブロック24に関連して確立された超臨界流体がプロセスチャンバから排出されるように、プロセスチャンバをベントすると同時に異なる流体をプロセスチャンバに導入することによってなされる。異なる超臨界流体は、概して、チャンバ内の流体と混ざり合わない。また、異なる超臨界流体は、チャンバ内の流体よりも低い密度、臨界温度、およびジュール・トムソン係数を有することが好ましい。その結果、プロセスチャンバ内の圧力は、マイクロエレクトロニクス・トポグラフィ上の特徴を損傷させることなく大幅に速く低減させることができる。また、このような技術は、プロセスチャンバ内に液体を形成させず、それゆえに、特徴崩壊の懸念が軽減される。加速ベントプロセスに使用されえる典型的な超臨界流体としては、ヘリウム、アルゴン、窒素、およびそれらの混合が挙げられるがこれらに限定はされない。
【0058】
いずれにせよ、プロセスチャンバ内の圧力が大気圧までまたはプロセスチャンバが置かれている環境の周囲圧力まで低減された後、マイクロエレクトロニクス・トポグラフィは、無傷で乾燥されるであろう。同プロセスチャンバ内または異なるプロセスチャンバ内では、マイクロエレクトロニクス・トポグラフィのさらなる処理が引き続きなされてよい。
【0059】
本開示内容の恩恵を受ける当業者ならば、本発明が、エッチングプロセス中および/または後続のすすぎプロセス中におけるマイクロエレクトロニクス・トポグラフィ上へのエッチング副生成物の沈殿を阻止するための方法を提供すると考えられることがわかる。当業者にならば、本説明を考慮することによって、本発明の様々な態様のさらなる変更形態および代替形態が明らかになる。例えば、本明細書に記載される例の多くは、エッチングプロセスおよびすすぎプロセスのための低/無表面張力流体として二酸化炭素を挙げているが、本明細書に記載される方法は、そのように限定はされない。したがって、本説明は、例示的にすぎないと見なされ、本発明を実行に移すための一般的な方法を当業者に教示することが目的である。本明細書に図示および記載される形態は、現時点において好ましい実施形態だとみなされる。本発明の説明による恩恵を受けた当業者ならばいずれも明らかであるように、要素および材料は、本明細書に例示および記載されるものに取って代わってよく、部分およびプロセスは、逆転されてよく、発明の特定の特徴は、単独に用いられてよい。本明細書に記載される要素は、以下の特許請求の範囲に記載される発明の趣旨および範囲から逸脱することなく変更を加えられてよい。

【特許請求の範囲】
【請求項1】
マイクロエレクトロニクス・トポグラフィを処理するための方法であって、
プロセスチャンバにマイクロエレクトロニクス・トポグラフィを入れることと、
前記マイクロエレクトロニクス・トポグラフィの上面を構成する層を選択的にエッチングするために、前記プロセスチャンバにエッチング溶液を導入することであって、前記プロセスチャンバ内の前記エッチング溶液は、超臨界状態または液体状態の流体を含む、ことと、
続いて前記プロセスチャンバにすすぎ溶液を導入することであって、前記すすぎ溶液は、超臨界状態または液体状態の前記流体を含む、ことと、
前記エッチング溶液を導入する工程および前記すすぎ溶液を導入する工程の一方の少なくとも途中の一定期間にわたって、前記プロセスチャンバに化学物質を導入することであって、前記化学物質は、前記マイクロエレクトロニクス・トポグラフィの周囲環境内の溶解エッチング副生成物が前記マイクロエレクトロニクス・トポグラフィ上に沈殿するのを抑制されるように、前記溶解エッチング副生成物を変性させるように化学的に構成される、ことと、
を備える方法。
【請求項2】
請求項1に記載の方法であって、
前記プロセスチャンバに前記化学物質を導入する工程は、前記エッチング溶液を導入する工程および前記すすぎ溶液を導入する工程の両方の少なくとも途中の一定期間にわたって前記プロセスチャンバに前記化学物質を導入することを含む、方法。
【請求項3】
請求項1に記載の方法であって、
前記プロセスチャンバに前記化学物質を導入する工程は、前記エッチング溶液を導入する工程および前記すすぎ溶液を導入する工程の少なくとも一方の全継続期間にわたって前記プロセスチャンバに前記化学物質を導入することを含む、方法。
【請求項4】
請求項1に記載の方法であって、
前記エッチング副生成物は、酸化物エッチング副生成物を含む、方法。
【請求項5】
請求項4に記載の方法であって、
前記エッチング副生成物は、ヒドロキシシラン基を含む、方法。
【請求項6】
請求項1に記載の方法であって、
前記化学物質は、シラザン、クロロシラン、またはアルコキシシランである、方法。
【請求項7】
請求項1に記載の方法であって、
前記化学物質は、ヒドロキシシラン、塩化チオニル、酸無水物、イソシアン酸塩、およびアンモニウム塩からなる群より選択される、方法。
【請求項8】
請求項1に記載の方法であって、さらに、
前記エッチング副生成物との反応を促進するために、前記プロセスチャンバに前記化学物質を導入する工程の少なくとも途中の一定期間にわたって前記プロセスチャンバに触媒を導入することを備える方法。
【請求項9】
請求項1に記載の方法であって、
前記化学物質は、エッチング副生成物に対して反応性でない部分を含む、方法。
【請求項10】
請求項9に記載の方法であって、
前記部分は、炭化水素、フッ化水素、またはシリコーンを含む、方法。
【請求項11】
請求項9に記載の方法であって、
前記部分は、立体的にかさばっている、方法。
【請求項12】
請求項1に記載の方法であって、
前記化学物質は、重量にして前記エッチング溶液または前記すすぎ溶液の最大およそ10%を占める、方法。
【請求項13】
請求項1に記載の方法であって、
前記エッチング溶液を導入する工程は、前記プロセスチャンバをベントするのと同時に、前記エッチング溶液の新鮮な組成を前記プロセスチャンバに導入することを含む、方法。
【請求項14】
請求項1に記載の方法であって、
前記化学物質は、モノアルコキシシランまたはモノクロロシランを含む、方法。
【請求項15】
請求項1に記載の方法であって、
前記すすぎ溶液は、さらに、前記流体と混合される1つまたは2つ以上の極性共溶媒を含む、方法。
【請求項16】
請求項15に記載の方法であって、
前記1つまたは2つ以上の極性共溶媒は、前記エッチング溶液のpKaよりも低いpKaを有する酸を含む、方法。
【請求項17】
請求項15に記載の方法であって、
前記1つまたは2つ以上の極性共溶媒は、水および極性アルコールを含む、方法。
【請求項18】
請求項1に記載の方法であって、さらに、
前記すすぎ溶液を前記プロセスチャンバから排出するために、超臨界状態の前記流体の純雰囲気を確立することを備える方法。
【請求項19】
請求項1に記載の方法であって、さらに、
前記すすぎ溶液を前記プロセスチャンバに導入する工程に続いて、前記プロセスチャンバ内における前記すすぎ溶液の圧力を超える圧力で異なる流体を前記プロセスチャンバに導入することであって、前記異なる流体は、前記すすぎ溶液と混ざり合わず、前記異なる流体を前記プロセスチャンバに導入する工程は、前記プロセスチャンバ内の前記すすぎ溶液を排出する、ことを備える方法。
【請求項20】
請求項1に記載の方法であって、
前記続いて前記すすぎ溶液を前記プロセスチャンバに導入する工程は、前記流体をその熱力学的臨界点のおよそ90%を超える温度および圧力で含むすすぎ溶液を前記プロセスチャンバに導入することを含む、方法。
【請求項21】
請求項1に記載の方法であって、
前記層を選択的にエッチングする工程は、複数のデバイス構造を前記マイクロエレクトロニクス・トポグラフィ内に包み込む犠牲層を選択的にエッチングすることを含む、方法。
【請求項22】
請求項1に記載の方法であって、
前記流体は、二酸化炭素である、方法。

【図1】
image rotate

【図2】
image rotate


【公表番号】特表2012−516035(P2012−516035A)
【公表日】平成24年7月12日(2012.7.12)
【国際特許分類】
【出願番号】特願2011−546276(P2011−546276)
【出願日】平成22年1月5日(2010.1.5)
【国際出願番号】PCT/US2010/020093
【国際公開番号】WO2010/090780
【国際公開日】平成22年8月12日(2010.8.12)
【出願人】(592010081)ラム リサーチ コーポレーション (467)
【氏名又は名称原語表記】LAM RESEARCH CORPORATION
【Fターム(参考)】