説明

光学リソグラフィでの照明光源形状定義

【課題】リソグラフィプロセス条件を決定するための良好な方法およびシステムを提供する。
【解決手段】リソグラフィプロセスのためのリソグラフィプロセス条件を決定する方法およびシステムが記載される。入力を取得した後、非矩形状サブ分解能アシスト特徴部を許容する条件下で、照明光源特よびマスク設計について第1の最適化を行う。続いて、矩形状サブ分解能アシスト特徴部だけが許容される1つ又はそれ以上の追加の最適化において、マスク設計が最適化される。これにより良好なリソグラフィプロセスが得られるとともに、マスク設計の複雑性を制限する。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、光学リソグラフィの分野に関し、詳細には、例えば、リソグラフィプロセスに用いられる光源照明、閾値、マスクの最適化など、リソグラフィプロセスを最適化するための方法およびシステムに関する。
【背景技術】
【0002】
光学リソグラフィは、マイクロチップの生産に使用される技術のうちの1つである。それは、「レチクル(reticle)」あるいは「フォトマスク」または「マスク」を使用し、「ウエハ」上に塗布された「フォトレジスト層」に、あるパターンを形成する。このマスクは、あるパターンを含んでおり、その像がウエハ上に投影された場合、化学的に現像した後、このフォトレジスト層に所望のパターンを生成する。この投影した像は、ある波長および光源形状を用いてマスクを照明することによってフォトレジスト層に形成される。マスクを通過した光は、リソグラフィ露光ツールの投影レンズによって取り込まれ、このレンズは、マスクパターンの像をフォトレジスト層に形成する。マスク自体は、光学的に透明なプレートで構成され、その上にパターンが片面に形成されている。これらのパターンは、多角形からなり、マスクの光学伝達特性は「パターン無しエリア」に対して変更されている。一例として、これらの多角形状マスクパターンは、これらを通過した光を吸収しまたは減衰させる薄い層で構成してもよい。
【0003】
しかしながら、光学投影リソグラフィ用の上記基本概念は、2つの要素によって複雑化している。ウエハ上に形成された像での形状は、マスク上のパターンの形状と同一コピーではなく、リソグラフィ工程で用いられる「技術(technology)ノード」がより発展するにつれて、即ち、生成する必要があるパターンサイズおよびパターン密度がそれぞれ小さくなって、例えば、20nmノード未満に高密度になると、両者間の相違点は大きくなる。
【0004】
マスク像パターンおよび投影像パターンの間の相違の影響は、「光学近接効果」と称される。長年、この効果は、いわゆる「光学近接効果補正」(OPC)をマスクパターンに適用することによって取り扱われている。即ち、マスクパターンは、投影した像が所望のウエハパターンに接近するように、ウエハ上に形成したい像とは意図的に異ならせている。この変更は、一般に、マスクパターンの形状(多角形)がある適切な方法で所望の印刷形状とは異なることを意味しているが、それは、ウエハ上に印刷像を形成するものではないが、印刷すると考えられる多角形のプロセスラチチュード(次の黒点(bullet)を参照)をいくらか改善する追加の多角形をマスクパターンに追加することをも意味している。
【0005】
これらの「特別」マスク多角形は、しばしば「アシストマスク特徴部(feature)」または「アシスト特徴部」と称される。OPCは、長年に渡って標準的な技術となっており、幾つかの会社が、意図したウエハパターンを与えると、マスクがリソグラフィツール内で露光される方法に関して充分に詳細な事項と共に、光学近接効果を補正したマスクパターンを生成するソフトウエアを提供している。
【0006】
光学投影リソグラフィをより複雑化している第2の要素は、ウエハパターンの忠実度が、リソグラフィプロセスでの欠陥(これらの多くはここで列挙している。)の存在(回避できない程度の)によって影響を受けることである。印刷パターン形状は、ウエハ上に像を生成するために用いられる光の量に依存し、いわゆる「露光量」または「線量」と称される。
【0007】
一般に、例えば、回避できない機械エラーまたは作業者エラーに起因して、理想的な線量を正確に露光することが不可能であるため、リソグラフィ作業者は、充分な量の「露光ラチチュード(EL)」を有する条件下で、即ち、理想の露光量からのある一定のオフセット(通常、露光量自体の百分率として表現される)が許容される条件下で作業することを目的としている。
【0008】
像を形成するリソグラフィ投影レンズは、いわゆる「ベストフォーカス面」、即ち、像が最も「鮮鋭」であって、意図した像に最も近くなる、空間内のある平面を有する。ウエハがこのベストフォーカス面に関して理想的に配置されていない場合、ウエハは「合焦外れ」ということになる。
【0009】
一般に、例えば、回避できない機械エラーまたは作業者エラーに起因して、ウエハを正確に合焦状態で露光することが不可能であるため、リソグラフィ作業者は、充分な量の「焦点深度(DOF)」を有する条件下で、即ち、理想の焦点面からのある一定のオフセット(通常、実際のウエハ面が理想面から何ナノメータ離れているかで表現される)が許容される条件下で作業することを目的としている。
【0010】
例えば、上記OPCソフトウエアによって生成されたマスクパターンは、一般に、リソグラフィプロセスで用いられる実際のフォトマスク上で完全に実現できない。通常、「マスクエラー」が存在しており、即ち、マスクパターンは、所望のマスクパターンからサイズまたは形状(あるいは両方)の点で偏差している。所望のマスクパターンからの偏差(「マスクエラー」)はまた、ウエハパターンの偏差をもたらす。一般に、マスクエラーを回避すること不可能であるため、リソグラフィ作業者は、ある量のマスクエラーが許容される条件下で作業することを目的としている。
【0011】
典型的には、充分なEL、DOFおよびマスクエラーに対する許容誤差が実現されるリソグラフィプロセス条件を見出す必要がある。上記許容誤差に対するリソグラフィプロセスの実際の性能は、しばしば「クリティカル(critical)寸法均一性」、略してCDU(ナノメータ表現)と称される測定基準で定量化される。この測定基準は、例えば、この露光焦点または露光量または実際のマスクエラーなどのプロセス変動に起因して、ウエハ像でのある構造の寸法が実際にどれぐらい変動しているか(例えば、印刷したウエハ内部、またはウエハからウエハへ)を表現する。そして、リソグラフィプロセス条件の最適化は、CDU測定基準の最小化として表現できる。ここでの重要な要素(その要素だけではないが)は、リソグラフィ露光ツールで使用される照射光源形状の選択である。
【0012】
上記の2つの複雑化は、今日、いわゆる光源(source)−マスク最適化(通常、「SMO」と略す)を実施することによってしばしば対処されている。これは、露光量、焦点及び/又はマスクエラーについての最良の可能性のあるまたは少なくとも充分な許容誤差(いわゆる「プロセスマージン」)を提供する光源−マスクの組合せを見出すために、照明光源およびOPCマスクが同時に変化する計算プロセスである。幾つかのソフトウエア会社が、所望のウエハ像が与えられた場合、他の入力、例えば、所望のプロセスマージンおよび、使用者にとって許容されるマスク複雑性への制限を規定するパラメータなどとともに、例えば、SMO計算を行う自動化ソフトウエアを提供している。
【0013】
これらのマスク複雑性の制限は、リソグラフィプロセスのラチチュードの最大化とコストとの間でトレードオフ(trade-off)を構成する。これは、次のように理解できる。 要求された像に可能な限り近い像を生成するために、マスク上のパターンが理想的にどのように見えるかを計算する場合、得られたマスクパターンは、通常、極端に複雑であり、このことは、印刷像、そしてアシスト特徴部の量、密度および形状を生成することを意図したマスク多角形の形状が極めて複雑であることを意味する。こうした複雑なマスクは、全て製造可能であれば、マスクコストが増加し、生成する必要がある多角形の数が増加し、あるいは、個々の多角形の形状がより複雑になる場合、極めて高価になるであろう。さらに、任意の形状のアシスト特徴部を用いると、極めて大きなマスクファイルが生じ、これはマスク上の全てのパターンの正確な形状を記述するファイルであり、これについて産業界は、gdsまたはgds2 ファイルと称される標準ファイルフォーマットを使用している。
【0014】
従って、OPCソフトウエアおよびSMOソフトウエアの両方は、使用者が受け入れようとする解法の複雑性に影響を与える(制限する)多くの数値パラメータを有し、これについてソフトウエアの使用者は、適切と考える数値または設定を選択する必要がある。最終のマスク解法に現れるアシスト多角形の実際の複雑性は、「マスクルールチェック」またはMRCパラメータと称されるSMO計算のための入力パラメータ組の値によって、さらに影響される。これらのパラメータについての実際の値(計算を実行する人間によって設定される必要がある)は、個々のマスク多角形の許容される複雑性への制限を設定する。一例として、これらのMRCパラメータは、マスク多角形の許容されるセグメント長に対して最小値を設定する。極めて複雑な形状を許容するMRCパラメータは、「アグレッシブ(積極的)」と称され、こうしたMRC入力パラメータのアグレッシブな組を備えた印刷した像形状は、一般に、所望の理想像により近くなるであろう。しかし、得られたマスクは、製造不可能であるか、あるいは極めて高価であろう。これが、一般に、人々が、より「穏健なMRC」入力パラメータを用いてSMOソフトウエアを実行することを好む理由であり、完全な印刷像ではないが、あまり複雑でなく、製造可能であり、あまり高価でないマスクを出力する。
【0015】
矩形状のアシストだけを許容する決定は、マスクコストを制限するが、より小さなプロセスラチチュードをしばしばもたらすことになる。多くの場合、リソグラフィプロセス許容誤差は、非矩形状アシスト特徴部が許容された場合、矩形状アシストのみが許容された場合と比べて改善することが知られている。よりアグレッシブな入力MRCパラメータは、通常、より大きなプロセスマージンをもたらす。
【発明の概要】
【発明が解決しようとする課題】
【0016】
(発明の要旨)
本発明の実施形態の目的は、リソグラフィプロセス条件を決定するための良好な方法およびシステムを提供することである。
【0017】
本発明の実施形態の利点は、リソグラフィプロセス条件が、リソグラフィプロセスに良好な焦点深度(DoF)性能を提供するとともに、使用するマスクにおいて、低い複雑性のサブ分解能アシスト特徴部を許容するように決定できることである。本発明の実施形態の利点は、マスクを製造するための製造努力および経済的コストが低くなるとともに、良好なDoF性能を持つリソグラフィプロセスが得られることである。
【0018】
本発明の実施形態の利点は、リソグラフィプロセス条件が、マスク複雑性が低く、または制限される(即ち、例えば、矩形状のサブ分解能のアシスト特徴部のみが存在する)とともに、リソグラフィプロセスは、非矩形状のサブ分解能の特徴部もマスク内で許容されている場合と類似または同じクリティカル寸法均一性(CDU)を取得できるリソグラフィプロセスのために決定できることである。
【0019】
本発明の実施形態の利点は、使用するマスク特徴部およびサブ分解能のアシスト特徴部を定義するマスクファイルのサイズが制限できるとともに、良好なリソグラフィプロセスが得られることである。
【課題を解決するための手段】
【0020】
上記目的は、本発明に係る方法および装置によって達成される。
【0021】
本発明は、リソグラフィプロセスのためのリソグラフィプロセス条件を決定する方法に関する。該方法は、
・照明光源およびマスク設計の特性を取得することを含み、該マスク設計は、リソグラフィパターンを含んでおり、
・リソグラフィプロセスのための照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施することを含み、これにより前記第1最適化では、マスク用の非矩形状サブ分解能アシスト特徴部が許容されており、
・前記第1の最適化から、最適化した照明光源特性の組を決定することを含み、
・リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施することを含み、これにより前記1つ又はそれ以上の追加の最適化では、前記マスク設計用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、前記1つ又はそれ以上の追加の最適化は、最適化した照明光源特性の前記組を考慮しており、
・前記1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部を実質的に排除した、最適化したマスク設計特性の組を決定することを含む。
【0022】
驚くべきことに、非矩形状サブ分解能アシスト特徴部を許容しない制限されたマスク設計設定を用いるとともに、非矩形状サブ分解能アシスト特徴部を許容する無制限またはあまり制限されないマスク設計設定を用いて、決定した光源−照明条件を用いてマスク設計特性を最適化することは、良好なリソグラフィプロセスのためのリソグラフィプロセス条件、例えば、良好なDoF、良好なCDUなどを提供するとともに、マスク設計の複雑性は制限されることが判明した。非矩形状サブ分解能アシスト特徴部を実質的に排除するとは、矩形状サブ分解能アシスト特徴部のみを使用することを参照することがある。該方法は、コンピュータで実行してもよい。
【0023】
1つ又はそれ以上の追加の最適化は、1つ又はそれ以上の追加の最適化で許容される最も複雑なマスク設計特徴部が第1の最適化で許容される最も複雑なマスク設計特徴部よりもあまり複雑でないことを少なくとも表現しているマスクルールチェック設定を考慮して、実施してもよい。本発明の少なくとも幾つかの実施形態の利点は、良好なリソグラフィプロセスのために従来のマスク最適化を用いて製作したマスク設計でのマスク特徴部と比較して、サブ分解能アシスト特徴部が最終マスク設計においてあまり複雑にならないだけでなく、他のマスク特徴部も最終マスク設計においてあまり複雑にならないことである。
【0024】
第1の最適化は、マスク設計のほんの一部である最適化クリップについて実施してもよい。本発明の少なくとも幾つかの実施形態の利点は、最適化が、マスクの小さな部分だけについて実施可能であり、制限されたコンピュータ能力で効率的な処理が可能になる。
【0025】
1つ又はそれ以上の最適化は、リソグラフィプロセスのための閾値を最適化することを含んでもよい。
【0026】
1つ又はそれ以上の最適化を実施することは、リソグラフィプロセスの閾値およびマスク設計特性の最適化のための第2の最適化を実施することを含んでもよく、これにより前記第2の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、第2の最適化は、最適化した照明光源特性の組を考慮しており、そして、リソグラフィプロセスのためのマスク設計特性を最適化するための第3の最適化を実施することを含んでもよく、これにより前記第3の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、第3の最適化は、第1の最適化から決定した、最適化した照明光源特性の組、および第2の最適化から決定した、最適化した閾値を考慮している。
【0027】
本発明の少なくとも幾つかの実施形態の利点は、選択すべき照明光源、閾値およびマスクの特性が、異なる最適化ステップで最適化できることであり、それ自体のアシスト設定およびマスクルールチェック設定を用いて各パラメータを最適化することが可能である。本発明の少なくとも幾つかの実施形態の利点は、異なるアシスト設定およびマスクルールチェック設定の使用が、照明光源、閾値およびマスクの特性を最適化することを可能にし、その結果、リソグラフィプロセスを用いて良好なDoF及び/又はCDUが得られ、同時に、制限された複雑性を持つマスクがリソグラフィプロセス用に使用可能であることである。
【0028】
第2の最適化を実施することは、マスク設計のほんの一部である最適化クリップに適用してもよい。本発明の少なくとも幾つかの実施形態の利点は、最適化が、マスクの小さな部分だけについて実施可能であり、制限されたコンピュータ能力で効率的な処理が可能になる。
【0029】
第3の最適化を実施することは、フルマスク設計に適用してもよい。本発明の少なくとも幾つかの実施形態の利点は、マスク特徴部がフルマスクについて決定できることである。
【0030】
リソグラフィプロセスは、処理すべきパターンの異なる部分のパターニングを行う多重パターニングステップを含んでもよい。本発明の実施形態が、多重パターニングリソグラフィプロセスに特に好適であろう。それは、多重パターニングにおいて、サブパターンは、典型的には特徴部間で幅広のスペースを有するためである。換言すると、多重パターニングリソグラフィプロセスを用いると、孤立したパターンの発生頻度が多い。これは、焦点深度マージンおよびCD(クリティカル寸法)変動に対してマイナスの影響を固有に有するため、本発明の少なくとも幾つかの実施形態で得られるような、焦点深度およびCD均一性を改善するための戦略が、多重パターニング技術にとって有益である。
【0031】
第1の最適化または、1つ又はそれ以上の追加の最適化の各々または何れかを実施するために、光源−マスク最適化アルゴリズムが、フルレジストモデルまたは空中像モデルのいずれかをベースとして使用してもよい。
【0032】
本発明はまた、リソグラフィプロセスのためのリソグラフィプロセス条件を決定するためのシステムに関する。該システムは、
・照明光源およびマスク設計の特性を取得するための入力手段を備え、該マスク設計は、リソグラフィパターンおよびサブ分解能アシスト特徴部を含み、
・処理手段を備え、該処理手段は、
・リソグラフィプロセスのための照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施し、これにより前記第1最適化では、マスク用の非矩形状サブ分解能のアシスト特徴部が許容されており、
・前記第1の最適化から、最適化した照明光源特性の組を決定し、
・リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施し、これにより前記1つ又はそれ以上の追加の最適化では、前記マスク設計用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、これにより前記1つ又はそれ以上の追加の最適化は、最適化した照明光源特性の前記組を考慮しており、
・前記1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部を実質的に排除した、最適化したマスク設計特性の組を決定するようにプログラムされている。
【0033】
処理手段は、リソグラフィプロセスの閾値およびマスク設計特性の最適化のための第2の最適化を実施するように適合してもよく、これにより第2の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、第2の最適化は、最適化した照明光源特性の組を考慮しており、そして、リソグラフィプロセスのためのマスク設計特性を最適化するための第3の最適化を実施するように適合してもよく、これにより第3の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、第3の最適化は、最適化した照明光源特性の組を考慮しており、第1の最適化から決定した、最適化した照明光源特性の組、および第2の最適化から決定した、最適化した閾値を考慮している。
【0034】
システムは、処理手段上で実行した場合、上述したような方法の1つを実行するためのコンピュータプログラム製品として実装してもよい。
【0035】
本発明はまた、処理手段上で実行した場合、上述したような方法の1つを実行するためのコンピュータプログラム製品を格納したデータキュリアに関する。
【0036】
本発明はまた、処理手段上で実行した場合、上述したような方法の1つを実行するためのコンピュータプログラム製品の伝送に関する。
【0037】
本発明はまた、リソグラフィプロセスで使用する部品キットに関する。該部品キットは、上述したような方法を用いて決定した照明光源特性を含むキャリア、そして同じ方法を用いて決定したマスク設計に係るマスクを備える。
【0038】
本発明はまた、電子デバイスに関する。該電子デバイスは、少なくとも1つのパターン層を含み、該パターン層は、上述したような方法を用いて決定したリソグラフィプロセス条件に従うリソグラフィプロセスを用いて製作される。
【0039】
本発明はまた、電子デバイスを製造するための方法に関する。該方法は、上述したようなリソグラフィプロセス条件決定方法を用いて、照明光源特性およびマスク特性を含むリソグラフィプロセス条件の組を決定すること、続いて、電子デバイスを形成するために、該リソグラフィプロセス条件を用いて半導体基板上のフォトレジストを露光することを含む。
【0040】
本発明の実施形態の利点は、リソグラフィプロセス条件は、良好な焦点深度性能を持つリソグラフィプロセスを提供するように決定できることである。
【0041】
本発明の特定の好ましい態様は、添付の独立および従属請求項に記述している。従属請求項からの特徴は、適切に、単に請求項に明示的に記述したものとしてでなく、独立請求項の特徴および他の従属請求項の特徴と組み合わせてもよい。
【0042】
本発明のこれらの態様および他の態様は、後述する実施形態を参照しながら明らかになるであろう。
【図面の簡単な説明】
【0043】
【図1】(先行技術)矩形状サブ分解能アシスト特徴部(分図A)および非矩形状サブ分解能アシスト特徴部(分図B)を示す。こうした特徴部は、本発明の実施形態においても好都合に使用できる。
【図2】(先行技術)先行技術から知られているものとして、従来の光源−マスク最適化フローを示す。
【図3】本発明の一実施形態に係る、リソグラフィプロセス条件を決定する方法を示す。
【図4】許容される矩形状SRAFのみを用いた従来のフローを用いた場合(フローA)、許容される非矩形状SRAFを用いた従来のフローを用いた場合(フローB)、そして本発明の一実施形態に係るSMOフローを用いた場合(フローC)、生成したSRAMパターンの比較例を示す。
【図5】許容される矩形状SRAFのみを用いた従来のフロー、および本発明の一実施形態に係るSMOフローについて、図4に示すSRAMパターンの例に示した切断線での正規化した像対数傾斜(NILS: normalized image log slope)を示す。
【図6】本発明の実施形態に係るSMOフローの主要なステップが示されている複数の例を示す。
【図7】本発明の一実施形態に係る、リソグラフィプロセス条件を決定するためのシステム方法を示す。
【図8】矩形状SRAFを用いた従来のフローおよび本発明の一実施形態に係るフローについて、論理レイアウトの250個のコンタクトホールにおいて6%の露光ラチチュード(EL)でのDoFのヒストグラムを示す。
【図9】異なるSMOフローについてのクリティカル寸法均一性(CDU)およびGDSファイルのサイズを示すもので、本発明の実施形態の利点を説明している。
【図10】20nmノードの場合、異なるSMOフローについて対応するマスク設計との組合せで、異なるSMOフローについてのクリティカル寸法均一性(CDU)およびGDSファイルのサイズを示すもので、本発明の実施形態の利点を説明している。
【0044】
図面は、概略的に過ぎず、限定的なものでない。図面において、幾つかの要素のサイズは強調していることがあり、説明目的のため、スケールどおりに描いていない。請求項での参照符号は、範囲を限定するものとして解釈すべきでない。異なる図面において、同じ参照符号は、同じまたは類似の要素を参照している。
【発明を実施するための形態】
【0045】
本発明は、特定の実施形態に関して一定の図面を参照しながら説明しているが、本発明はこれによって限定されず、請求項によってのみ限定される。ここで記載した図面は、概略的に過ぎず、限定的なものでない。図面において、幾つかの要素のサイズは強調していることがあり、説明目的のため、スケールどおりに描いていない。寸法および相対寸法は、本発明の実際の実施品と対応していない。
【0046】
さらに、説明および請求項での用語「第1」「第2」などは、類似の要素を区別するために使用しており、必ずしも時間的または空間的な順番を記述するためではない。ここで使用した用語は、適切な状況下で交換可能であり、ここで本発明の実施形態は、ここで説明したり図示したものとは別の順番で動作可能であると理解すべきである。
【0047】
さらに、説明および請求項での用語「上(top)」、「下(bottom)」等は、説明目的で使用しており、必ずしも相対的な位置を記述するためのものでない。こうして用いた用語は、適切な状況下で交換可能であって、ここで説明した本発明の実施形態がここで説明または図示した以外の他の向きで動作可能であると理解すべきである。
【0048】
請求項で用いた用語「備える、含む(comprising)」は、それ以降に列挙された手段に限定されるものと解釈すべきでなく、他の要素またはステップを除外していないことに留意する。記述した特徴、整数、ステップまたは構成要素の存在を、参照したように特定するように解釈する必要があるが、1つ又はそれ以上の他の特徴、整数、ステップまたは構成要素、あるいはこれらのグループの存在または追加を除外していない。こうして表現「手段A,Bを備えるデバイス」の範囲は、構成要素A,Bのみから成るデバイスに限定すべきでない。本発明に関して、デバイスの関連した構成要素がA,Bであることを意味する。
【0049】
本明細書を通じて「一実施形態」または「実施形態」への参照は、実施形態との関連で記載した特定の特徴、構造または特性が本発明の少なくとも1つの実施形態に含まれることを意味する。本明細書を通じていろいろな場所での「一実施形態」または「実施形態」の語句の出現は、必ずしも全て同じ実施形態を参照していないが、そうこともある。さらに、1つ又はそれ以上の実施形態において、本発明から当業者にとって明らかなように、特定の特徴、構造または特性は、いずれか適切な方法で組み合わせてもよい。
【0050】
同様に、本発明の例示の実施形態の説明において、本開示を合理化し、本発明の1つ又はそれ以上の種々の態様の理解を支援する目的で、単一の実施形態、図面、または説明において、本発明のいろいろな特徴が一緒にグループ化していることがあると理解すべきである。しかしながら、この開示の方法は、請求項の発明が、各請求項で明示的に記載したものより多くの特徴を必要とするという意図を反映していると解釈すべきでない。むしろ下記の請求項が反映しているように、発明の態様は、単一の前述した実施形態の全ての特徴より少ない場合がある。こうして詳細な説明に追従する請求項は、この詳細な説明の中に明示的に組み込まれており、各請求項は、本発明の別々の実施形態として自立している。
【0051】
さらに、ここで説明した幾つかの実施形態が、他の実施形態に含まれる幾つかの他でない特徴を含むとともに、当業者によって理解されるように、異なる実施形態の特徴の組合せが本発明の範囲内にあって、異なる実施形態を構成することを意味する。例えば、下記の請求項において、請求した実施形態の何れも、何れの組合せで使用可能である。
【0052】
ここで提供した説明では、多数の具体的な詳細を説明している。しかしながら、本発明の実施形態は、これらの具体的な詳細なしで実施してもよいことは理解されよう。別の例では、本説明の理解を曖昧にしないために、周知の方法、構造、および技法は詳細には示していない。
【0053】
後述する実験または本発明に係る実施形態において、サブ分解能アシスト特徴部(SRAF:sub-resolution assist features)という場合、マスクに追加された特徴部であって、パターン化すべき特徴部そのままに基づくマスクを適用した場合に導入される光学近接誤差を補正することが可能である特徴部を参照している。典型的には、2つのタイプのアシスト特徴部が存在する。第1タイプは、矩形状のサブ分解能アシスト特徴部であり、形状は全体的に矩形である。第2タイプは、非矩形状のサブ分解能アシスト特徴部(SRAF)であり、任意の矩形状特徴部の他に、非矩形状のSRAF部分も含んでいる。これらはまた、自由造形(free-form)SRAFとも称される。一般に、アシスト特徴部は、任意の形状、例えば、任意の多数の頂点を持つ多角形の物体とすることができる。幾つかの実施形態では、こうした非矩形状のSRAFが、多角形状SRAF、および使用する角度が0°,90°,180°または270°に制限された多角形状SRAFに制限できる。但し、本発明の実施形態はこれに限定されない。
【0054】
例えば、本発明の実施形態はこれに限定されないが、二重パターニング用の矩形状SRAF補正(分図A)および非矩形状SRAF補正(分図B)の説明を図1に示している。黒色部分はサブ分解能アシスト特徴部を示し、一方、ハッチング部分は原型パターン特徴部を示している。知られているように、二重パターニングでの非矩形状SRAFの使用は、焦点深度(DoF)を実質的に増加させ、マスクファイルサイズ(GDS)も実質的に増加させる。
【0055】
本発明に係る実施形態または実験において、光源(source)−マスク最適化(SMO)という場合、照射光源およびマスクを最適化するためのテクニックを参照している。最適化は、照射光源の異なるエッジおよび特徴部に対して異なる効果を有してもよい。それは、例えば、光源形状または照射パターンに対する効果を有してもよい。それは、例えば、光源照明を、選択した放射パターンに制限するための瞳の使用を含んでもよい。マスクの最適化は、マスクの異なる特徴部およびエッジに対する効果を有してもよい。それは、例えば、エッジ位置またはライン幅のシフト化またはバイアス化を含み、そして、それ自体を印刷することを意図していないが、印刷すべき関連パターン特徴部の性質に影響を及ぼすアシスト特徴部の適用を含む。
【0056】
SMOは、典型的には、閾値の最適化も含む。本発明に係る実施形態において、閾値という場合、照射用に使用する照射強度または線量を参照しており、それを超えると、照射されたフォトレジストが変化してパターンの形成を生じさせる。使用する閾値は、取得できるクリティカル寸法に直接に影響を与える。
【0057】
本発明に係る実施形態において、従来のSMOフローまたは標準SMOフローという場合、図2に示すような方法に従って典型的に実施されるSMO最適化を参照している。図2において、こうした従来のSMOフロー200の本質的な部分を示している。第1ステップ210では、光源−マスク最適化を回路クリップ(clip)、例えば、SRAMセルに対して実施しており、光源およびマスク形状を規定する。このステップで使用するパターンは、1つの回路クリップ、例えば、SRAMセルに必ずしも限定されず、他のクリップ、例えば、論理回路ブロックまたはこの組合せも使用できる。
【0058】
次のステップ220において、マスク最適化(MO)を論理パターンに対して実施しており、この部分は本質的に光学近接効果補正(OPC)に対応している。マスク形状の結果は、マスク製造可能性について考慮すべきである。従って、典型的にはSMOおよびMO最適化は、穏健なマスク制限ルール(MRC)の下で矩形状SRAFを用いて実施される。非矩形状SRAFの場合、GDSマスクファイルサイズは、典型的には極めて大きくなるためである。大きなGDSファイルサイズおよび複雑なマスクが限定し過ぎでなければ、従来のSMOフローは、より積極的なマスク制限ルールおよび非矩形状SRAFを用いて実施してもよい。
【0059】
第1態様では、本発明は、リソグラフィプロセスのためのリソグラフィプロセス条件を決定する方法に関する。該方法は、小さいパターンのパターニング用に特に適している。該方法は、多重パターニングプロセス、例えば、二重パターニングリソグラフィプロセスにおいて好都合に使用できる。但し、本発明の実施形態はこれに限定されない。それは、光源−マスク最適化(SMO)のためのアルゴリズムを利用している。SMOを実施するための種々のアルゴリズムが知られており、本発明の実施形態はこうしたアルゴリズムの各々を利用できる。使用可能なSMOアルゴリズムの一例が、国際特許出願WO2010/059954 A2に記載されている。但し、本発明の実施形態はこれに限定されない。光源−マスク最適化のためのアルゴリズムが、光学結像モデルシミュレーションを利用してもよい。例えば、SMO最適化は、空中像モデルまたはフルレジストモデルを利用してもよい。本発明の実施形態は、使用する特定のモデルに限定されない。
【0060】
さらに、本発明の実施形態は、種々の最適化ステップを利用する。ステップの各々において、同じまたは異なるSMOアルゴリズムが使用でき、同じまたは異なる光学結像シミュレーションモデル、例えば、空中像モデルまたはフルレジストモデルを利用できる。幾つかのSMOステップでは、光源条件が固定され、例えば、前のステップで決定される。こうした場合、アルゴリズムは、マスク最適化アルゴリズム(MO)と称してもよい。
【0061】
本発明の実施形態に係る方法は、最適化すべき照射光源およびマスク設計の特性を取得するステップを含む。マスク設計特性またはマスク設計は、初期のパターンを含む。マスク設計特性は、精製すべきサブ分解能アシスト特徴部の組を含んでもよく、または、実施すべき最適化の際に導入してもよい。初期の設定を取得した後、第1の最適化が、リソグラフィプロセス用の照明光源特性およびマスク設計特性の組合せ最適化のために実施される。本発明の実施形態によれば、この第1の最適化は、マスク用の非矩形状サブ分解能アシスト特徴部が許容されるように実施される。上述したように、典型的なSMOアルゴリズムがこの最適化のために使用してもよい。本発明の実施形態はこれに限定されない。例えば、最適化は、フルレジストモデル、そして空中像モデルをベースとしてもよい。第1の最適化は、典型的には、最適化すべきマスク設計の一部分のみである最適化クリップについて実施してもよい。但し、本発明の実施形態はこれに限定されない。この第1の最適化から、最適化した照明光源特性の組、そして最適化したマスク設計特性の組が決定されるが、最適化した照明光源特性の組だけが維持され、この方法においてさらに使用されることになる。
【0062】
その後、1つ又はそれ以上の最適化を実施するステップが行われ、リソグラフィプロセスのマスク設計特性を最適化する。この最適化は、単一ステップで実施してもよく、または、例えば、他のパラメータ、例えば、閾値が最適化される場合、異なる最適化の際に実施してもよい。これらの1つ又はそれ以上の更なる最適化では、第1の最適化で決定したように、最適化した照明光源特性の組は、固定した照明光源特性として用いられ、これらの照明光源特性の更なる変動を許容しない。上述のように、使用するアルゴリズムは、第1の最適化で使用したものと同じまたは異なるアルゴリズムでもよい。それは、フルレジストモデルをベースとしたアルゴリズム、そして空中像モデルをベースとしたアルゴリズムでもよい。マスク設計特性を最適化するための1つ又はそれ以上の更なる最適化において、マスク設計のための非矩形状サブ分解能アシスト特徴部の存在は、実施的に排除される。さらに、マスクルールチェック設定が設定され、あまり複雑でないマスク設計特徴部が許容される。これらの最適化により、第1の最適化を用いて得られたものよりあまり複雑でないマスク設計が得られ、驚くことに、許容される非矩形状サブ分解能アシスト特徴部を用いて照明光源およびマスクの最適化を実施した場合に得られるような、良好な焦点深度(DoF)が得られるという利点を有する。
【0063】
これらの1つ又はそれ以上の更なる最適化から、最適化したマスク設計特性の組が決定され、これによりマスク設計特性の場合、非矩形状サブ分解能アシスト特徴部が実質的に排除される。これらの1つ又はそれ以上の最適化は、フルマスク設計について実施してもよい。一例として、本発明の一実施形態に係る例示の方法を図3に示している。例示の方法は、照明光源およびマスク設計に関する初期設定を取得すること(310)を含む。光源を定義するため(320)と、閾値およびマスクを定義するため(330)の最適化ステップ間の分離は、サブ分解能アシスト特徴部に関して異なる条件を使用することが可能になる。即ち、非矩形状サブ分解能アシスト特徴部を許容するマスク特性を用いて光源を定義することが可能になり、非矩形状サブ分解能アシスト特徴部を許容しない条件を用いてマスク特性および閾値を定義することが可能になる。
【0064】
下記の実験結果で説明するように、この結果は、良好な焦点深度が得られるリソグラフィプロセス条件の利点をもたらすとともに、マスク設計の複雑性および、マスク特性を保存するファイルのマスク設計ファイルサイズを制限する。
【0065】
この方法は、上述のように決定したリソグラフィプロセス条件を出力ポートを経由して、データキャリア、ディスプレイ表示または他の手段によって出力すること(340)を含んでもよい。提供した出力は、リソグラフィプロセス時に使用される照明光源、そしてリソグラフィプロセスで使用されるマスク設計に関する情報を含むリソグラフィプロセス条件である。
【0066】
本発明の実施形態に係る方法は、好都合なことに、少なくとも部分的にはコンピュータで実行される。例えば、最適化アルゴリズムが適用される少なくとも最適化ステップは、コンピュータで実行される方法で実施できる。幾つかの実施形態では、該方法は完全にコンピュータで実行される。
【0067】
幾つかの実施形態では、1つ又はそれ以上の更なる最適化はまた、リソグラフィプロセス用の閾値の最適化を含む。後者は、別個のステップで実施でき、またはマスク特性のための最適化ステップとの組合せが可能である。一実施形態では、閾値およびマスク設計特性の最適化は、単一の最適化ステップで実施され、照明光源特性を定義するための最適化ステップに続く。後者は、典型的には、フルマスク設計、即ち、全ての回路について実施してもよく、フルマスク設計の最適化を取得する。こうした実施形態では、閾値およびマスク設計特性は、同じステップで定義される。本発明の実施形態によれば、これは、非矩形状サブ分解能アシスト特徴部を排除しながら実施される。
【0068】
幾つかの実施形態では、閾値およびマスク設計の最適化は、別個の最適化ステップで実施される。従って、1つ又はそれ以上の更なる最適化は、第1の最適化で定義された照明光源の設定を用いて、閾値および、非矩形状サブ分解能アシスト特徴部を排除するマスク設計を最適化するための第2の最適化ステップを含んでもよい。第2の最適化ステップからは、少なくとも閾値設定が定義される。必要に応じて、マスク設計特性の一部について、例えば、回路マスク設計のほんの一部である回路クリップについて得られるようなこれらの特性が定義できる。定義された閾値および、必要ならばマスク設計特性の一部についても、第3の最適化ステップのための固定値として使用してもよく、この場合、追加のマスク設計特定のマスク設計特性は、フルマスク設計、即ち、全ての回路に対応した設計のために決定される。
【0069】
本発明の特定の実施形態では、非矩形状サブ分解能アシスト特徴部を排除したり許容する以外に、マスクルールチェック設定が異なる最適化の間で異なるように選択される。マスクルールチェック設定またはマスクルールチェックパラメータ(MRC)は、個々のマスク多角形の許容される複雑性への限界を設定するパラメータである。一例として、これらのMRCパラメータは、マスク多角形の許容されるセグメント長に対する最小値を設定してもよい。極めて複雑な形状を許容するMRCパラメータ組は、「アグレッシブ(積極的)」と呼ばれ、こうしたアグレッシブなMRC入力パラメータの組を持つ印刷した像形状は、一般には所望の理想像により近いであろう。しかし、得られたマスクは、より複雑であり、製造するのが困難であったり、及び/又は極めて高価であろう。
【0070】
穏健なMRC設定はあまり完全でない印刷像をもたらすが、あまり複雑でなく、より製造可能であり、またはあまり高価でないマスクを出力する。本発明の実施形態によれば、マスクルールチェック設定は、1つ又はそれ以上の追加の最適化において許容される少なくとも最も複雑なマスク設計特徴部が、第1の最適化で許容された最も複雑なマスク設計特徴部よりも複雑でないように、選択してもよい。
【0071】
本発明に係る実施形態の利点は、閾値設定が、非矩形状サブ分解能アシスト特徴部が排除される条件下で決定できることである。これにより、非矩形状サブ分解能アシスト特徴部が排除される従来のSMOフローを用いて得られるものより良好なDoFを有することが可能である。
【0072】
上述したように、異なる最適化ステップは、例えば、空中像モデルまたはフルレジストモデルを用いて、同じまたは異なるシミュレーションモデルをベースとしたSMO最適化アルゴリズムを用いて実施してもよい。
【0073】
図4は、許容される矩形状SRAFのみを用いた従来のフローを用いた場合(フローA)、許容される非矩形状SRAFを用いた従来のフローを用いた場合(フローB)、そして本発明の一実施形態に係るSMOフローを用いた場合(フローC)、生成したSRAMパターンの例を示す。本発明の一実施形態に係るSMOフローでは、SRAFの個数はフローAよりもかなり大きくなり、SRAFのレイアウトは、非矩形状SRAFを許容する従来のフローにより近くなることが判る。矩形状SRAFのみを用いた従来のフローと、本発明の一実施形態に係るフローとでNILSの比較は、図5から導出できるように、最良のフォーカス条件およびデフォーカス条件でNILSに関して明確な利点があることを示している。図5は、図4に示す切断線での正規化した像対数傾斜(NILS: normalized image log slope)を示す。
【0074】
一例として、複数の可能性あるSMOフローの主要なステップを図6に示しており、本発明の実施形態に係る種々の可能性あるフローの幾つかの例を示している。
【0075】
一態様では、本発明は、リソグラフィプロセスのためのリソグラフィプロセス条件を決定するためのシステムに関する。システムは、上述したような第1態様に係る方法を実施するために特に適しているであろう。但し、実施形態はこれに限定されない。システムは、コンピュータで実行してもよい。こうしたコンピュータでの実行は、ソフトウエアで実行しても、ハードウエアで実行しても、両方の組合せでもよい。一例として、本発明の実施形態はこれに限定されないが、本発明の一実施形態に係る例示のシステムを図7に示しており、こうしたシステムの標準および任意の特徴部を示している。システム700は、照明光源およびマスク設計の特性を取得するための入力手段710を含む。該特性は、典型的には、実施すべきリソグラフィパターンを含む。サブ分解能アシスト特徴部が、追加の最適化のために既に定義してもよく、あるいは、最適化とともにこれらを導入してもよいが、マスク設計特性の一部ではない。入力は、典型的には、電子データフォーマットで得られる。システムは、処理手段をさらに備える。処理手段720は、リソグラフィプロセスについての照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施するためにプログラムされている。処理手段720はさらに、マスク用の非矩形状サブ分解能アシスト特徴部が許容される第1の最適化を実施するためにプログラムされている。
【0076】
処理手段720はまた、第1の最適化から、最適化した照明光源特性の組を決定するためにプログラムされている。
【0077】
処理手段720はまた、リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施するためにプログラムされており、これにより、1つ又はそれ以上の追加の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除される。1つ又はそれ以上の追加の最適化では、最適化した照明光源特性の前記組を考慮する。
【0078】
処理手段720はまた、1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部を実質的に排除した、最適化したマスク設計特性の組を決定するためにプログラムされている。処理手段720は、異なる最適化ステップのための異なるマスクルールチェック設定を考慮するように適合してもよい。
【0079】
上記フローを実行するために、処理手段720は、異なる動作のタイミングを決めるコントローラ722を備えてもよい。さらに、処理手段720は、SMO最適化モジュール724を備えてもよく、これは、提供された特定の設定、例えば、特定のシミュレーション用に提供された特定の設定を考慮したSMO最適化を実施するように適合している。これらの特定の設定は、使用者入力から取得してもよく、またはコントローラ722から取得してもよい。こうした設定は、マスクの複雑性の程度を決定する設定、非矩形状サブ分解能アシスト特徴部が許容されるか否かを決定する設定、パターン特徴部について許容された複雑性の程度を表現するマスクルールチェック設定などでもよい。
【0080】
SMO最適化モジュール724は、当業者に知られている任意の適切な光源マスク最適化モジュールでもよい。それは、特定のモデル、例えば、空中像モデルまたはフルレジストモデルをベースとしてもよく、あるいは、興味のあるモデルを選択するために適合していてもよい。システムは、使用するリソグラフィプロセス条件を出力するための出力手段をさらに備える。こうしたリソグラフィプロセス条件は、典型的には、照明光源特性およびマスク設計特性を含み、電子データとして出力したり、表示したりできる。追加のシステムコンポーネントが、第1態様で説明したようなリソグラフィプロセス条件を決定するための方法のステップの1つまたはそれ以上、あるいはその一部を実施するように適合した任意のコンポーネントであってもよい。
【0081】
他の態様において、本発明は、処理手段上で実行した場合、第1態様で説明したような方法の1つを実行するためのコンピュータプログラム製品に関する。コンピュータプログラム製品は、少なくとも1つのメモリ形態、例えば、RAM、ROMなどを含むメモリサブシステムと結合した、少なくとも1つのプログラム可能なプロセッサを含む処理システム上で実行してもよい。プロセッサまたは複数のプロセッサは、汎用のものでもよく、または特別な目的のプロセッサでもよく、デバイスに組み込み用のもの、例えば、他の機能を実施する他のコンポーネントを有するチップでもよいことに留意する。こうして本発明の実施形態の1つ又はそれ以上の態様が、デジタル電子回路、またはコンピュータハードウエア、ファームウエア、ソフトウエア、これらの組合せで実施できる。プロセッサは、リソグラフィプロセス条件を決定するための方法を実施するように適合してもよく、あるいは、こうした方法を実施するための命令を含んでもよい。プロセッサは、少なくとも1つのディスクドライブ、及び/又はCD−ROMドライブ、及び/又はDVDドライブを有する保存サブシステムを含んでもよい。
【0082】
幾つかの例では、ディスプレイシステム、キーボード、ポインティングデバイスを、使用者が情報を手動で入力するのを提供するユーザインタフェース・サブシステムの一部として含んでもよい。データを入力および出力するためのポートを含んでもよい。例えば、ネットワーク接続、種々のデバイスとのインタフェースなどの要素を含んでもよい。処理システムの種々の要素は、バスサブシステムなどを介して種々の方法で接続してもよい。メモリサブシステムのメモリは、ある時は、処理システムで実行した場合、上述の方法の実施形態のステップを実行する命令セットの一部または全てを保持してもよい。こうした処理システムは先行技術であるが、上述したような方法の態様を実行する命令を含むシステムは先行技術ではない。
【0083】
コンピュータプログラム製品は、プログラム可能なプロセッサでの実行用の機械読み取り可能なコードを搭載したキュリア媒体内に有形的に具現化できる。こうして本発明は、コンピュータ手段上で実行した場合、上述したような方法の何れかに係るリソグラフィプロセス条件の決定を実行するための命令を提供するコンピュータプログラム製品を搭載したキュリア媒体に関する。用語「キュリア媒体」とは、実行用のプロセッサに命令を提供するのに関与する任意の媒体を参照する。こうした媒体は、多くの形態を取ることができ、例えば、これに限定されないが、不揮発性媒体、伝送媒体などである。不揮発性媒体は、例えば、光学ディスクまたは磁気ディスク、例えば、マスストレージの一部であるストレージデバイスなどである。コンピュータ読み取り可能な媒体の一般の形態は、CD−ROM、DVD、フレキシブルディスクまたはフロッピーディスク、テープ、メモリチップまたはカートリッジ、またはコンピュータが読み取り可能な他の媒体を含む。
【0084】
コンピュータ読み取り可能な媒体の種々の形態は、実行用プロセッサに1つ又はそれ以上の命令からなる1つ又はそれ以上のシーケンスを搭載したものでもよい。コンピュータプログラム製品は、ネットワーク、例えば、LAN、WANまたはインターネットなどで搬送波を介して伝送できる。伝送媒体は、例えば、ラジオ波および赤外のデータ通信の際に生成されるような、音響波または光波の形態を取るものでもよい。伝送媒体は、同軸ケーブル、銅線、光ファイバなど、コンピュータ内部のバスを含むワイヤなどでもよい。
【0085】
更なる態様において、本発明はまた、リソグラフィプロセスで使用する部品キットに関する。該部品キットは、第1態様で説明したような方法を用いて決定した照明光源特性を含むデータを備えたキャリア、そして同じ方法を用いて決定したマスク設計に係るマスクを備える。
【0086】
さらに更なる態様において、本発明はまた、電子デバイスに関する。該電子デバイスは、少なくとも1つのパターン層を含み、該パターン層は、第1態様で説明したような方法を用いて決定したリソグラフィプロセス条件に従うリソグラフィプロセスを用いて製作される。
【0087】
さらに他の態様において、本発明は、電子デバイスを製造するための方法に関し、該方法は、第1態様で説明したような方法を用いてリソグラフィプロセス条件の組を決定すること、続いて、電子デバイスを形成するためのリソグラフィプロセス条件を用いて、半導体基板上のフォトレジストを露光することを含む。より詳細には、該方法は、
【0088】
照明光源およびマスク設計の特性を取得することを含み、該マスク設計は、リソグラフィパターンおよびサブ分解能アシスト特徴部を含んでおり、
リソグラフィプロセスのための照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施することを含み、これにより前記第1の最適化では、マスク用の非矩形状サブ分解能アシスト特徴部が許容されており、
前記第1の最適化から、最適化した照明光源特性の組を決定することを含み、
リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施することを含み、これにより前記1つ又はそれ以上の追加の最適化では、前記マスク設計用の非矩形状サブ分解能アシスト特徴部の存在が実質的に排除され、前記1つ又はそれ以上の追加の最適化は、最適化した照明光源特性の前記組を考慮しており、
前記1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部を実質的に排除した、最適化したマスク設計特性の組を決定することを含む。
【0089】
該方法は、こうした得られたリソグラフィプロセス条件を用いて、半導体基板上のフォトレジストを露光することをさらに含む。
【0090】
一例として、本発明の実施形態はこれに限定されないが、上記原理の特徴および利点について実験結果によってさらに説明する。実験は、Tachyon−SMO(登録商標)を用いたサブ分解能アシスト特徴部の配置をベースとした光源−マスク最適化およびモデルを用いて実施した。実験で用いた回路パターンは、スタティックランダムアクセスメモリ(SRAM)セルおよび論理標準セルであった。前者は、高いパターン密度を実現するように設計された6トランジスタSRAMであった。後者は、4標準セル、フリップフロップ、フル加算器(adder)、インバータ、NANDの組合せであった。これらの両方は、28nm、22nmおよび20nmノードで設計した。論理セルでのコンタクトホールの総数は、約250個である。二重パターニング用のパターン分割(splitting)を22nmおよび20nmセルについて実施した。
【0091】
異なる実験では、目標CDUとの比較のため、推定したCDUを用いた。CDUは、Tachyon−SMO(登録商標)で抽出されるリソグラフィ輪郭(contour)によって推定した。シミュレーション条件を(表1)に掲載している。
【0092】
【表1】

【0093】
CDU推定のフローは、下記のとおりであった。最初に、光源形状をSRAMセルレイアウトによって定義した。第2ステップでは、前回ステップで定義した光源を用いてマスク最適化を行った。第3ステップでは、シミュレーションを行った輪郭からCDを抽出した。抽出は、推定したプロセス誤差の有りと無しで実行した。全部で7つのタイプのCDデータ組を抽出した。即ち、(1)公称の条件、(2)(3)焦点オフセット(+/−50nm)、(4)(5)線量オフセット(+/−3%)、(6)(7)マスク誤差(+/−2nm)。最後に、これらのCDの3シグマを計算することによって、CDUを推定した。
【0094】
第1の実験では、光源−マスク最適化のための方法を特定の回路レイアウトに適用した。最初に、矩形状サブ分解能アシスト特徴部を用いた従来のフローと、本発明の一実施形態に係るフローとで、22nmノードでのDoFを比較した。図8は、論理レイアウトの250個のコンタクトホールにおいて、6%の露光ラチチュード(EL)でのDoFのヒストグラムを示す。本発明の一実施形態に係る方法は、矩形状サブ分解能アシスト特徴部を用いた従来のフローと比べて、DoFに関して明確に有利であることが判る。
【0095】
クリティカル寸法均一性(CDU)およびGDSファイルのサイズを比較して、図9に示している。3つのタイプの光源−マスク最適化フローを評価した。即ち、矩形状SRAFを用いた従来のフロー(1)、非矩形状SRAFを用いた従来のフロー(2)、本発明の一実施形態に係るフロー(3)。図9は、本発明の一実施形態に係るフローは、非矩形状SRAFを用いた従来のフローに匹敵するCDUレベルを実現していることを示している。より詳細には、非矩形状SRAFによる従来のフローを用いたSMO最適化、および本発明の一実施形態に係るフローを用いたSMO最適化は、矩形状SRAFを用いた従来フローよりもそれぞれ10%と9%だけ小さいCDU性能を提供している。本発明の一実施形態に係るフローでのGDSサイズは、矩形状SRAFを用いた従来フローと同じレベルを維持している。より詳細には、この従来フローと比較したGDSサイズの増加量は、自由造形SRAFを用いた従来フローでは25%であり、本発明の一実施形態に係るフローでは0%であることが判った。その結果、本発明の一実施形態に係る本フローを使用すると、CDU−マスク複雑性の間のトレードオフを克服している。
【0096】
第2の実験では、本発明の一実施形態に係るフローについて、20nmノードの論理回路のリソグラフィプロセスのためにテストを行った。
【0097】
20nmノードでは、22nmのものよりCDU劣化がかなり大きい。調査によれば、主要な原因が、パターン分割の競合が生ずるポイントでのプロセス変動性であることが判った。これを解決するために、マスクレイアウトを変更する以外に、SMOフローを再び変更すると、CDUに対して有利な効果が得られるとともに、GDSファイルサイズを制限することが判った。図10において、矩形状SRAFを用いた従来のフロー(1)、非矩形状SRAFを用いた従来のフロー(2)、本発明の一実施形態にSMO係るフロー(3)について、CDUおよびファイルサイズを示している。図10において、CDUが、矩形状SRAFを用いた従来フローと比較して著しく良好であるとともに、GDSファイルサイズは僅かに増加しているに過ぎないことが判る。換言すると、20nmノードで得られた結果は、22nmノードで得られたものと類似している。
【0098】
上記の例から、本発明の一実施形態に係る光源−マスク最適化フローは、マスク製造可能性に関してはマイナスの影響なしで、CDU改善をもたらすことが判る。

【特許請求の範囲】
【請求項1】
リソグラフィプロセスのためのリソグラフィプロセス条件を決定する方法(300)であって、
・照明光源およびマスク設計の特性を取得すること(310)を含み、該マスク設計は、リソグラフィパターンを含んでおり、
・リソグラフィプロセスのための照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施すること(320)を含み、これにより前記第1最適化では、マスク用の非矩形状サブ分解能アシスト特徴部(130)が許容されており、
・前記第1の最適化から、最適化した照明光源特性の組を決定することを含み、
・リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施すること(330)を含み、これにより前記1つ又はそれ以上の追加の最適化では、前記マスク設計用の非矩形状サブ分解能アシスト特徴部(130)の存在が実質的に排除され、前記1つ又はそれ以上の追加の最適化は、最適化した照明光源特性の前記組を考慮しており、
・前記1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部(130)を実質的に排除した、最適化したマスク設計特性の組を決定することを含む、方法。
【請求項2】
前記1つ又はそれ以上の追加の最適化を実施すること(330)は、1つ又はそれ以上の追加の最適化で許容される最も複雑なマスク設計特徴部が第1の最適化で許容される最も複雑なマスク設計特徴部よりも複雑でないことを少なくとも表現しているマスクルールチェック設定を考慮するようにした、請求項1記載の方法(300)。
【請求項3】
第1の最適化は、マスク設計の一部である最適化クリップについて実施するようにした、請求項1または2記載の方法(300)。
【請求項4】
1つ又はそれ以上の最適化を実施すること(330)は、リソグラフィプロセスのための閾値を最適化することをさらに含む、請求項1〜3のいずれかに記載の方法(300)。
【請求項5】
1つ又はそれ以上の最適化は、
・リソグラフィプロセスの閾値およびマスク設計特性を最適化するための第2の最適化を含み、これにより前記第2の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部(130)の存在が実質的に排除され、これにより第2の最適化は、最適化した照明光源特性の組を考慮しており、
・リソグラフィプロセスのためのマスク設計特性を最適化するための第3の最適化を含み、これにより前記第3の最適化では、前記マスク用の非矩形状サブ分解能アシスト特徴部(130)の存在が実質的に排除され、これにより第3の最適化は、第1の最適化から決定した最適化照明光源特性の組、および第2の最適化から決定した最適化閾値を考慮するようにした、請求項4記載の方法(300)。
【請求項6】
第2の最適化を実施することは、マスク設計の一部である最適化クリップに適用される、請求項5記載の方法(300)。
【請求項7】
第3の最適化を実施することは、フルマスク設計に適用される、請求項5または6記載の方法(300)。
【請求項8】
リソグラフィプロセスは、処理すべきパターンの異なる部分のパターニングを行う多重パターニングステップを含む、請求項1〜7のいずれかに記載の方法(300)。
【請求項9】
第1の最適化または、1つ又はそれ以上の追加の最適化の各々では、光源−マスク最適化アルゴリズムが、フルレジストモデルまたは空中像モデルのいずれかをベースとして使用される、請求項1〜8のいずれかに記載の方法(300)。
【請求項10】
リソグラフィプロセスのためのリソグラフィプロセス条件を決定するためのシステム(700)であって、
・照明光源およびマスク設計の特性を取得するための入力手段(710)を備え、該マスク設計は、リソグラフィパターンを含み、
・処理手段(720)を備え、該処理手段は、
・リソグラフィプロセスのための照明光源特性およびマスク設計特性の組合せ最適化のための第1の最適化を実施し、これにより前記第1最適化では、マスク用の非矩形状サブ分解能のアシスト特徴部(130)が許容されており、
・前記第1の最適化から、最適化した照明光源特性の組を決定し、
・リソグラフィプロセスのマスク設計特性の最適化のための1つ又はそれ以上の追加の最適化を実施し、これにより前記1つ又はそれ以上の追加の最適化では、前記マスク設計用の非矩形状サブ分解能アシスト特徴部(130)の存在が実質的に排除され、これにより前記1つ又はそれ以上の追加の最適化は、最適化した照明光源特性の前記組を考慮しており、
・前記1つ又はそれ以上の追加の最適化から、非矩形状サブ分解能アシスト特徴部(130)を実質的に排除した、最適化したマスク設計特性の組を決定するようにプログラムされている、システム。
【請求項11】
システム(700)は、処理手段上で実行した場合、請求項1〜9のいずれかに記載の方法の1つを実行するためのコンピュータプログラム製品として実装されている、請求項10記載のシステム(700)。
【請求項12】
処理手段上で実行した場合、請求項1〜9のいずれかに記載の方法の1つを実行するためのコンピュータプログラム製品を格納したデータキュリア、またはこうしたコンピュータプログラム製品の伝送。
【請求項13】
リソグラフィプロセスで使用する部品キットであって、
・請求項1〜9のいずれかに記載の方法を用いて決定した照明光源特性を少なくとも含むキャリアと、
・同じ方法を用いて決定したマスク設計に係るマスクとを備える、部品キット。
【請求項14】
少なくとも1つのパターン層を含む電子デバイスであって、
該パターン層は、請求項1〜9のいずれかに記載の方法を用いて決定したリソグラフィプロセス条件に従うリソグラフィプロセスを用いて製作されるようにした、電子デバイス。
【請求項15】
電子デバイスを製造するための方法であって、
・請求項1〜9のいずれかに記載の方法(300)を用いて、照明光源特性およびマスク特性を含むリソグラフィプロセス条件の組を決定することを含み、
・電子デバイスを形成するために、該リソグラフィプロセス条件を用いて半導体基板上のフォトレジストを露光することとを含む、方法。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate


【公開番号】特開2013−65018(P2013−65018A)
【公開日】平成25年4月11日(2013.4.11)
【国際特許分類】
【外国語出願】
【出願番号】特願2012−204768(P2012−204768)
【出願日】平成24年9月18日(2012.9.18)
【公序良俗違反の表示】
(特許庁注:以下のものは登録商標)
1.フロッピー
【出願人】(591060898)アイメック (302)
【氏名又は名称原語表記】IMEC
【出願人】(306025156)アーエスエムエル・ネザーランズ・ベスローテン・フェンノートシャップ (3)
【氏名又は名称原語表記】ASML Netherlands B.V.
【Fターム(参考)】