説明

切り換え可能な中性ビーム源

本発明は、切り換え可能な疑中性ビームシステムを用いてリアルタイムで基板を処理して、フォトレジスト層のエッチング耐性を改善する装置及び方法を供してよい。それに加えて、前記の改善されたフォトレジスト層は、エッチング処理において、ゲート及び/又はスペーサの限界寸法(CD)のより正確な制御、ゲート及び/又はスペーサのCD均一性の正確な制御、並びに、ライン端部粗さ(LER)及びライン幅粗さ(LWR)の除去に用いられてよい。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、基板処理に関し、より詳細には、切り換え可能な中性ビーム源を用いた基板処理の改善に関する。
【背景技術】
【0002】
半導体処理中、プラズマは通常、半導体基板上でパターニングされた微細なラインに沿った又はビア(又はコンタクト)内部での材料の異方的除去を促進することによりエッチングプロセスを支援するのに利用される。さらにプラズマは、半導体基板上での吸着原子の移動度を改善することによって薄膜の堆積を改善するのに利用される。
【0003】
一旦プラズマが生成されると、基板上の選択された表面は、プラズマによってエッチングされる。プロセスは、適切な条件−所望の反応物の適切な濃度、及び、基板の選択された領域での様々な構造(たとえばトレンチ、ビア、コンタクト等)をエッチングするためのイオン濃度を含む−を実現するように調節される。エッチングが必要とされるそのような基板材料には、二酸化シリコン(SiO2)、low-k誘電材料、多結晶シリコン、及びシリコン窒化物が含まれる。
【0004】
しかしプラズマ(つまり荷電粒子)の利用自体が、半導体デバイスの製造において問題を発生させる。デバイスが小さくなり、かつ、集積密度が増大することで、内部の絶縁及び分離構造の破壊電圧は、多くの状況において顕著に−大抵の場合では10[V]未満にまで−減少してきた。たとえば一部の集積回路(IC)デバイスの設計は、1μm未満の厚さの絶縁体を必要とする。
【0005】
同時に、構造のサイズの減少により分離構造のキャパシタンス値が減少して、絶縁つまり分離構造を破壊するのに十分な強度の電場を生じさせるのに、相対的に少数の荷電粒子しか必要なくなる。従って製造プロセス−たとえばドライプラズマエッチングプロセス−中に半導体構造に衝突する粒子によって運ばれる電荷に対するその半導体構造の許容度はかなり限られ、製造中にそのような電荷を放出させる構造が必要となる。そのため半導体デバイスの設計は大抵の場合複雑になる。
【0006】
この問題は、中性の荷電粒子による処理を実行することによって回避可能である一方で、イオン又は電子の電荷は、これらの粒子の運動を実効的に操作及び案内しうる唯一の特性である。従ってイオンは、その軌道が確立され、かつ、電子によって中性化されてもその軌道が変化しなくなる程度にそのエネルギーが大きくなるまで、帯電した状態でなければならない。それでも、軌道は変化する恐れがあり、かつ、中性ビーム束は、他の粒子−その粒子は中性化されていても良いし又は中性化されていなくても良いし、かつ、厳密に平行ではない軌道を有しても良い−との衝突により深刻な劣化を起こす恐れがある。
【0007】
このような要求のため、中性ビーム源は、数電子ボルトで、かつ、数万電子ボルト以上の任意のエネルギーの中性の荷電粒子ビームを生成するように開発されてきた。
【発明の概要】
【発明が解決しようとする課題】
【0008】
本発明は、マスク層の硬化、乾燥、縮小、補正、及び/又は、硬化、エッチング、アッシング、洗浄、堆積を含む基板処理に用いられ得る切り換え可能な擬中性ビーム(SQNB)源に関する。一部の実施例では、当該SQNB源は、パターニングされた基板上のマスク層の硬化、及び、前記パターニングされた基板について、後続のエッチングにおいて前記の硬化されたマスク層を用いるのに利用されて良い。
【0009】
本発明は、空間電荷が中性化された中性ビームによって始動するプロセスによって、基板上のパターニングされたマスク層を硬化、乾燥、縮小、及び/又は補正し、かつ、前記の硬化、乾燥、縮小、及び/又は補正されたマスク層を用いて前記基板をエッチングするSQNBシステム並びに方法に関する。当該SQNBシステムは、1つ以上の異なる上部チャンバ電位にて1つ以上の異なる上部プラズマを生成する上部プラズマチャンバ、及び、前記上部チャンバ電位よりも大きな1つ以上の異なるSQNBプラズマ電位で1つ以上の異なるSQNBプロセスプラズマを生成するSQNBプロセスチャンバを有して良い。前記SQNBプロセスプラズマは、前記上部プラズマからの電子束を用いて生成される。さらに前記SQNBプロセスプラズマは切り換え可能な基板ホルダを有する。前記切り換え可能な基板ホルダは、前記SQNBプロセスチャンバ内に基板を設置し、第1SQNB処理中に第1基板バイアス印加構成を供して、かつ、第2SQNB処理中に第2基板バイアス印加構成を供する。
【課題を解決するための手段】
【0010】
当該SQNBシステムは、第1SQNB処理中に第1擬中性ビームを生成し、かつ、第2SQNB処理中に第2擬中性ビームを生成してよい。当該SQNBシステムは、第1組の中性ビームと第1プロセスガスを用いることによって、第1SQNB処理中に、前記SQNBプロセスチャンバ内で第1SQNBプラズマを生成し、かつ、第2組の中性ビームと第2プロセスガスを用いることによって、第2SQNB処理中に、前記SQNBプロセスチャンバ内で第2SQNBプラズマを生成してよい。
【0011】
本発明は:第1流速で第1プロセスガスを受ける上部プラズマ領域を有するプラズマ生成チャンバ;前記プラズマ生成チャンバと結合して、前記第1プロセスガスを前記上部プラズマ領域へ導入する第1ガス注入システム;前記プラズマ生成チャンバと結合して、前記上部プラズマ領域内において、前記第1プロセスガスから、上部プラズマ電位で上部プラズマを生成するプラズマ生成システム;前記上部プラズマ領域下流に設けられた切り換え可能なプラズマ領域を有して、第2流速で前記上部プラズマ領域から少なくとも1つの上部プラズマ種を受ける切り換え可能な擬中性ビーム(SQNB)プロセスチャンバ;前記上部プラズマ領域と前記切り換え可能なプラズマ領域との間に設けられていて、かつ、前記上部プラズマ領域から前記切り換え可能なプラズマ領域へ流れる電子束が、前記SQNBプロセスチャンバ内において切り換え可能なプラズマ電位で切り換え可能なプラズマを生成することを可能にする1つ以上の開口部を有する分離部材;前記電子束を制御するため、前記SQNBプロセスチャンバと結合して、前記切り換え可能なプラズマ電位を前記上部プラズマ電位にまで上昇させる下部バイアス電極;前記SQNBプロセスチャンバと結合して、前記切り換え可能なプラズマ領域付近で前記基板を支持する切り換え可能な基板ホルダであって、第1SQNB処理中には第1位置に存在して、第2SQNB処理中には第2位置に存在する多重位置スイッチと結合する切り換え可能な基板ホルダ;並びに、前記SQNBプロセスチャンバと結合する真空排気システムを有する。たとえば前記真空排気システムは、第1SQNB処理中、前記SQNBプロセスチャンバ内の切り換え可能なプラズマ領域を第1圧力にまで排気し、かつ、第2SQNB処理中、前記SQNBプロセスチャンバ内の切り換え可能なプラズマ領域を第2圧力にまで排気してよい。
【0012】
本発明は、SQNBシステムを有してよい。当該SQNBシステムはプラズマ生成チャンバ及びプラズマ生成システムを有する。プラズマ生成チャンバ及びプラズマ生成システムは、前記第1SQNB処理中に前記プラズマ生成チャンバ内の上部プラズマ生成領域において第1上部プラズマ電位で第1上部プラズマを生成し、かつ、第2SQNB処理中に前記プラズマ生成チャンバ内の上部プラズマ生成領域において第2上部プラズマ電位で第2上部プラズマを生成する。前記プラズマ生成チャンバは、第1SQNB処理中に第1流速で第1プラズマ生成ガスを受け、かつ、さらに第2SQNB処理中に第2流速で第2プラズマ生成ガスを受ける。当該SQNBシステムはさらに:前記上部プラズマ領域下流に設けられた切り換え可能なプラズマ領域を有して、第1SQNB処理中に前記上部プラズマ領域から少なくとも1つの上部プラズマ種を受け、かつ、さらに第2SQNB処理中に前記上部プラズマ領域から少なくとも1つの第2プラズマ種を受ける切り換え可能なSQNBプロセスチャンバ;第1SQNB処理中に前記第1プラズマ生成ガスを前記上部プラズマ領域へ導入し、第2SQNB処理中に前記第2プラズマ生成ガスを前記上部プラズマ領域へ導入する前記プラズマ生成チャンバと結合する第1ガス注入システム;前記上部プラズマ領域と前記切り換え可能なプラズマ領域との間に設けられていて、かつ、前記上部プラズマ領域から前記切り換え可能なプラズマ領域へ流れる電子束が、第1切り換え可能なプラズマ電位で第1切り換え可能なプラズマを生成し、かつ、さらに第2切り換え可能なプラズマ電位で第2切り換え可能なプラズマを生成することを可能にする1つ以上の「ビーム生成」開口部を有する分離部材;前記SQNBプロセスチャンバと結合して、前記第1SQNB処理中に複数のビーム中の前記第1電子束を制御するため、前記第1切り換え可能なプラズマ電位を前記第1上部プラズマ電位にまで上昇させ、かつ、さらに前記第2SQNB処理中に前記複数のビーム中の前記第2電子束を制御するため、前記第2切り換え可能なプラズマ電位を前記第2上部プラズマ電位にまで上昇させる下部バイアス電極;前記SQNBプロセスチャンバと結合して、前記切り換え可能なプラズマ領域付近で前記基板を支持する切り換え可能な基板ホルダであって、第1SQNB処理中には第1位置に存在して、第2SQNB処理中には第2位置に存在する多重位置スイッチと結合する切り換え可能な基板ホルダ;並びに前記SQNBプロセスチャンバと結合して、前記SQNBプロセスチャンバ内の切り換え可能なプラズマ領域を排気する真空チャンバを有する。たとえば前記第1切り換え可能プラズマ及び/又は第2切り換え可能プラズマは、マスク層の硬化、乾燥、縮小、及び/又は補正処理中、エッチング処理、アッシング処理、洗浄処理、若しくは堆積処理、又はこれらの結合処理中に生成されてよい。
【0013】
他の実施例によると、パターニングされた基板を処理する方法が記載されている。当該方法は:前記パターニングされた基板上のマスク層を調節する切り換え可能なプロセスチャンバ内に前記パターニングされた基板を設ける手順;上部プラズマ領域内において第1上部プラズマ電位で第1上部プラズマを生成する手順;前記第1切り換え能なプラズマ電位を前記第1上部プラズマ電位にまで上昇させて前記第1電子束を制御する手順;前記切り換え可能なプロセスチャンバ内の第1圧力を制御する手順;前記基板を第1切り換え能な(調節)プラズマへ曝露する手順;上部プラズマ領域内において第2上部プラズマ電位で第2上部プラズマを生成する手順;前記上部プラズマ領域からの複数のビーム中の第2電子束を用いることによって、前記切り換え能なプラズマ領域内において第2切り換え可能なプラズマ電位で第2切り換え可能な(部位を生成する)プラズマを生成する手順;前記第2切り換え可能なプラズマ電位を前記第2上部プラズマ電位よりも上昇させることで、前記第2電子束を制御する手順;前記切り換え可能なプロセスチャンバ内の第2圧力を制御する手順;並びに、前記基板を前記第2切り換え可能な(部位を生成する)プラズマに曝露する手順を有する。
【0014】
本発明は、放射線感受性を有する材料を改質するように生成されたサブシステム及び処理シーケンスを用いることによって、基板をリアルタイムで処理する装置並びに方法を供してよい。それに加えて、前記の改質された放射線感受性を有する材料の層は、第2SQNB処理において、ゲート及び/又はスペーサの限界寸法(CD)のより厳密な制御、ゲート及び/又はスペーサのCDの均一性の制御、並びに、ライン端部粗さ(LER)及びライン幅粗さ(LWR)の除去に用いられてよい。
【0015】
本発明の他の態様は、以降の詳細な説明と添付図面から明らかとなる。
【図面の簡単な説明】
【0016】
【図1】本発明の実施例による処理システムの典型的ブロック図を表している。
【図2A】本発明の実施例による切り換え可能な擬中性ビーム(SQNB)サブシステムの簡略化された図を示している。
【図2B】本発明の実施例による図2Aに図示された切り換え可能な擬中性ビーム(SQNB)サブシステム内において実行される第1SQNB処理及び/又は第2SQNB処理についての典型的な条件を表している。
【図3】本発明の実施例による他の切り換え可能な擬中性ビーム(SQNB)処理システムの典型的ブロック図を表している。
【図4】本発明の実施例による切り換え可能な擬中性ビーム(SQNB)システムを用いて基板を処理する方法の典型的フローダイアグラムを示している。
【図5A】本発明の実施例による切り換え可能な擬中性ビーム(SQNB)システムを用いた金属ゲート構造の処理の典型的な図を表している。
【図5B】本発明の実施例による切り換え可能な擬中性ビーム(SQNB)システムを用いた金属ゲート構造の処理の典型的な図を表している。
【発明を実施するための形態】
【0017】
ここで本発明に実施例について、添付図面を参照しながら説明する。図中、対応する参照符号は、対応する部品を示す。
【0018】
本発明は、放射線感受性を有する材料を改質するように生成された擬中性ビーム(SQNB)サブシステム及びSQNB処理シーケンスを用いることによって、基板をリアルタイムで処理する装置並びに方法を供する。それに加えて、前記の改質された放射線感受性を有する材料の層は、ゲート及び/又はスペーサの限界寸法(CD)のより厳密な制御、ゲート及び/又はスペーサのCDの均一性の制御、並びに、ライン端部粗さ(LER)及びライン幅粗さ(LWR)の除去に用いられてよい。たとえば前記SQNBサブシステム及びSQNBシーケンスは、前記マスク層材料の機械的特性を変化させ、前記マスク層材料の化学的特性及び/又は機械的特性を改質し、並びに、前記マスク層のエッチング耐性を変化させるのに用いられてよい。
【0019】
一部の実施例では、第1SQNB処理中に生成される調節されたフォトレジスト構造及び周期構造についてのプロファイルデータ及び回折信号データを有する計測ライブラリを生成並びに/又は利用する装置と方法が供される。それに加えて、計測ライブラリは、さらなるSQNB処理において調節されたフォトレジスト構造と周期構造を用いて生成された新たな構造についてのプロファイルデータ及び回折信号データを有してよい。
【0020】
1つ以上の評価部が基板上の様々な場所に供されて良い。そしてその1つ以上の評価部は、SQNB処理及び関連するモデルの評価及び/又は検証に用いられて良い。基板は関連する基板データを有して良い。その基板データはリアルタイムデータと履歴データを有して良い。それに加えて、基板は関連する他のデータを有しても良い。そしてその他のデータは、ゲート構造データ、必要なサイト数、アクセスサイト数、前記サイトについての信頼性及び/若しくは危険性データ、サイトのランキングデータ、搬送シーケンスデータ、若しくはプロセス関連データ、若しくは評価/検証関連データ、又はこれらの結合データを有して良い。基板に関連するデータは、いつどこに基板を搬送するのかを決定するのに用いることができる搬送シーケンスを有して良い。搬送シーケンスは動作状態データを用いることによって変更可能である。
【0021】
部位のサイズが45nm技術ノード未満にまで減少することで、正確な処理データ及び/又は測定データは、より重要となり、かつ、より取得するのが難しくなる。SQNB処理は、これらの極小デバイス及び部位のより正確な処理並びに/又は測定に用いられてよい。SQNB処理からのデータは、警告及び/又は制御限界と比較されてよい。実行規則が予ぶられたとき、処理の問題を教える警告が生成され、かつ、補正処理がリアルタイムで実行されてよい。
【0022】
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、評価サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、SQNB処理シーケンス及び関連するモデルにおいて用いられ得る1つ以上の素子を有してよい。あるいはその代わりに、切り換え可能な中性ビーム(SNB)サブシステム及び/又はSNB処理シーケンスが用いられてもよい。
【0023】
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、評価サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、第1データ搬送サブシステム181を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、評価サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているサブシステムであって良い。
【0024】
リソグラフィサブシステム110は、1つ以上の搬送/格納部112、1つ以上の処理部113、1つ以上の制御装置114、及び1つ以上の評価部115を有して良い。1つ以上の搬送/格納部112は、1つ以上の処理部113及び/又は1つ以上の評価部115と結合して良く、かつ1つ以上の搬送装置111を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は1つ以上の搬送装置111を用いることによって、搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部112、1つ以上の処理部113、及び/又は1つ以上の評価部115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納部112、1つ以上の処理部113、及び/又は1つ以上の評価部115と結合して良い。あるいはその代わりにリソグラフィサブシステム110は異なった構成をとっても良い。
【0025】
実施例によっては、リソグラフィサブシステム110は、1枚以上の基板に対して、コーティング処理、熱処理、測定処理、検査処理、位置合わせ処理、及び/又は格納処理を実行して良い。たとえば1つ以上のリソグラフィ関連プロセスは、フォトレジスト材料及び/又は反射防止コーティング(ARC)材料を含む1層以上のマスク層の堆積に用いられて良く、かつ1層以上のマスク層の熱処理(ベーキング)に用いられても良い。それに加えて、リソグラフィサブシステム110は、1枚以上の基板上の1層以上のマスク層の現像、測定、及び/又は検査に用いられて良い。
【0026】
露光サブシステム120は、1つ以上の搬送/格納部122、1つ以上の処理部123、1つ以上の制御装置124、及び1つ以上の評価部125を有して良い。1つ以上の搬送/格納部122は、1つ以上の処理部123及び/又は1つ以上の評価部125と結合して良く、かつ1つ以上の搬送装置121を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は、1つ以上の搬送装置121を用いることによって搬送サブシステム170と露光サブシステム120との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部122、1つ以上の処理部123、及び/又は1つ以上の評価部125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納部122、1つ以上の処理部123、及び/又は1つ以上の評価部125と結合して良い。
【0027】
実施例によっては、露光サブシステム120は、湿式及び/又は乾式露光処理を実行するのに用いられて良く、また別な実施例では、露光サブシステム120は、極紫外(EUV)露光処理を実行するのに用いられて良い。
【0028】
エッチングサブシステム130は、1つ以上の搬送/格納部132、1つ以上の処理部133、1つ以上の制御装置134、及び1つ以上の評価部135を有して良い。1つ以上の搬送/格納部132は、1つ以上の処理部133及び/又は1つ以上の評価部135と結合して良く、かつ1つ以上の搬送装置131を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は、1つ以上の搬送装置131を用いることによって搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部132、1つ以上の処理部133、及び/又は1つ以上の評価部135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納部132、1つ以上の処理部133、及び/又は1つ以上の評価部135と結合して良い。たとえば1つ以上の処理部133は、プラズマ若しくは非プラズマエッチング、アッシング、及びクリーニング処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、1枚以上の基板及び/又は基板の1層以上の層の測定及び/又は検査に用いられて良い。
【0029】
堆積サブシステム140は、1つ以上の搬送/格納部142、1つ以上の処理部143、1つ以上の制御装置144、及び1つ以上の評価部145を有して良い。1つ以上の搬送/格納部142は、1つ以上の処理部143及び/又は1つ以上の評価部145と結合して良く、かつ1つ以上の搬送装置141を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は、1つ以上の搬送装置141を用いることによって搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部142、1つ以上の処理部143、及び/又は1つ以上の評価部145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納部142、1つ以上の処理部143、及び/又は1つ以上の評価部145と結合して良い。たとえば1つ以上の処理部143は、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)プロセスプラズマ原子層堆積(PEALD)処理、及び/又はプラズマ化学気相成長(PECVD)処理を実行するのに用いられて良い。評価処理及び/又は検査処理は、基板の1つ以上の表面の測定及び/又は検査に用いられて良い。
【0030】
SQNBサブシステム150は、1つ以上の搬送/格納部152、1つ以上の切り換え可能な処理部153、1つ以上の制御装置154、及び1つ以上の切り換え可能な評価部155を有して良い。1つ以上の搬送/格納部152は、1つ以上の切り換え可能な処理部153及び/又は1つ以上の切り換え可能な評価部155と結合して良く、かつ1つ以上の搬送装置151を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は、1つ以上の搬送装置151を用いることによって搬送サブシステム170とSQNBサブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部152、1つ以上の切り換え可能な処理部153、及び/又は1つ以上の切り換え可能な評価部155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納部152、1つ以上の切り換え可能な処理部153、及び/又は1つ以上の切り換え可能な評価部155と結合して良い。
【0031】
評価サブシステム160は、1つ以上の搬送/格納部162、1つ以上の処理部163、1つ以上の制御装置164、及び1つ以上の評価部165を有して良い。1つ以上の搬送/格納部162は、1つ以上の処理部163及び/又は1つ以上の評価部165と結合して良く、かつ1つ以上の搬送装置161を用いることによって搬送サブシステム170と結合して良い。1枚以上の基板105は、1つ以上の搬送装置161を用いることによって搬送サブシステム170と評価サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納部162、1つ以上の処理部163、及び/又は1つ以上の評価部165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納部162、1つ以上の処理部163、及び/又は1つ以上の評価部165と結合して良い。評価サブシステム160は1つ以上の処理部163を有して良く、1つ以上の処理部163は、ライブラリに基づく又は回帰分析に基づく手法を用いて基板上での1つ以上の位置での標的構造の測定に用いられて良い。たとえば基板105上の位置には、SQNB関連位置、標的位置、オーバーレイ位置、位置合わせ位置、測定位置、確認位置、検査位置、若しくは損傷評価位置、又はこれらの結合が含まれて良い。たとえば1つ以上の「金の基板」又は参照用チップが、1つ以上の処理部163及び/又は1つ以上の評価部165の性能の確認のため、周期的に格納及び使用されて良い。
【0032】
実施例によっては、評価サブシステム160は、一体化されたオプティカル・ディジタル・プロフィロメトリ(iODP)部(図示されていない)を有して良い。iODP部/システムはティンバーテクノロジー(Timbre Technologies)社(東京エレクトロン株式会社)から販売されている。あるいはその代わりに他の計測システムが用いられても良い。たとえばiODP技術は、限界寸法(CD)データ、ゲート構造データ、及び厚さデータを含むリアルタイムデータを取得するのに用いられて良い。iODPデータについての波長の範囲は、約200nm未満から約900nmよりも大きな値であって良い。典型的なiODP部は、ODPプロファイラライブラリ部、プロファイラアプリケーションサーバ(PAS)部、及びODPプロファイラソフトウエア部を有して良い。ODPプロファイラライブラリ部は、光スペクトルについての用途特定データベース部、及びそれに対応する半導体プロファイル、CD、及び膜厚を有して良い。PAS部は光ハードウエア及びコンピュータネットワークと接続する少なくとも1つのコンピュータを有して良い。PAS部は、データ通信、ODPライブラリ操作、測定処理、結果の発生、結果の解析、及び結果の出力を供するように備えられていて良い。ODPプロファイラソフトウエア部は、PAS部にインストールされたソフトウエアを有して良い。そのソフトウエアは、計測レシピ、ODPプロファイラライブラリ部、ODPプロファイラデータ、ODPプロファイラ検索/一致結果、ODPプロファイラ計算/解析結果、データ通信、及び様々な計測部やコンピュータネットワークに対するインターフェースを管理する。
【0033】
評価サブシステム160は、偏光リフレクトメトリ、分光エリプソメトリ、リフレクトメトリ、又はデバイスのプロファイル、正確なCD、及び基板の複数の層の膜厚を測定する他の光学測定手法を用いて良い。一体化された計測処理(iODP)は、サブシステムの一体化された群内での一体化された処理として実行されて良い。それに加えて、一体化された処理は、解析の実行又は外部システムからのデータを長期間待つのに基板を壊す必要がなくなる。iODP技術は、インラインプロファイル及びCDを測定する既存の薄膜計測システムと併用されて良く、かつTELの処理システムと一体化されることで、リアルタイムでのプロセス監視及び制御を行うことができる。シミュレーションによる計測データは、マクスウエル方程式を適用して、数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。
【0034】
搬送サブシステム170は、搬送トラック(175及び176)と結合する搬送部174を有して良い。搬送トラック(175及び176)は、基板の受け取り、基板の搬送、基板の位置合わせ、基板の格納、及び/又は基板の停留に用いられて良い。たとえば搬送部174は、2枚以上の基板を支持して良い。あるいはその代わりに他の搬送手段が用いられても良い。搬送サブシステム170は、基板の搬入、搬送、格納、及び/又は搬出を行って良い。その際には、SQNB処理、SQNB処理に関連する処理シーケンス、搬送シーケンス、動作状態、基板及び/又は処理状態、処理時間、現在の時刻、基板データ、基板上での位置の数、基板上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。
【0035】
いくつかの例では、搬送サブシステム170は、どこにいつ基板を搬送するのかを決定するための搬入出データを用いて良い。他の例では、搬送システムは、どこにいつ基板を搬送するのかを決定するためのSQNB処理データを用いて良い。あるいはその代わりに他の処理が用いられても良い。あるいはその代わりに他の手順が用いられても良い。たとえば基板の第1数が利用可能な処理部の数以下であるとき、その第1数の基板は、搬送サブシステム170を用いることによって、その第1数の利用可能な処理部へ搬送されて良い。基板の第1数が利用可能な処理部の数よりも多いとき、一部の基板は、1つ以上の搬送/格納部(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
【0036】
それに加えて、リソグラフィ関連処理、露光関連処理、検査関連処理、測定関連処理、評価関連処理、エッチング関連処理、堆積関連処理、熱処理、コーティング関連処理、位置合わせ関連処理、研磨関連処理、格納関連処理、搬送処理、クリーニング関連処理、再加工関連処理、酸化関連処理、窒化関連処理、若しくは外部処理部、又はこれらの結合を実行するときには、1つ以上のサブシステム(110、120、130、140、150、160、及び170)が用いられて良い。
【0037】
動作状態のデータがサブシステム(110、120、130、140、150、160、及び170)について設定され、かつSQNB処理によって使用及び/又は更新されて良い。それに加えて、動作状態のデータは、搬送/格納部(112、122、132、142、152、及び162)、処理部(113、123、133、143、153、及び163)、及び評価部(115、125、135、145、155、及び165)について設定され、かつSQNB処理によって更新されて良い。たとえば処理部についての動作状態を表すデータは、利用可能性のデータ、処理部についての適合データ、一部の処理工程及び/若しくは位置についての予想された処理時間、処理部についての歩留まりデータ、信頼性データ及び/若しくは危険性データ、又は、1つ以上のSQNB処理についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は1つ以上の処理部及び/又は1つ以上のサブシステムへ問い合わせることによってリアルタイムで取得されて良い。更新された搬入出データは、1つ以上の搬送部及び/又は1つ以上の搬送サブシステムへ問い合わせることによってリアルタイムで取得されて良い。
【0038】
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理部を有するマイクロプロセッサを有して良い。
【0039】
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部デバイスと結合して良い。
【0040】
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイムSQNB処理を実行するときに用いられて良い。制御装置は、SQNB処理モデルからリアルタイムデータを受け取ることで、サブシステム、処理部、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、シーケンスデータ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、基板及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変化するとき、レジストモデルが変化するとき、処理シーケンスが変化するとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
【0041】
例によっては、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、SQNB処理のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理シーケンスデータ、及び/又は基板データを供しても良い。
【0042】
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム部品は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
【0043】
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
【0044】
実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム部品を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。たとえばCD走査電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、光デジタルプロファイロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光計測システムを含む測定用部品が供されても良い。サブシステム及び/又は処理用の部品は、それぞれ異なるインターフェース要件を有して良い。制御装置は、これらのそれぞれ異なるインターフェース要件を満たすように備えられていて良い。
【0045】
1つ以上のサブシステム(110、120、130、140、150、160、及び170)は制御アプリケーション、グラフィカルユーザーインターフェース(GUI)アプリケーション、及び/又はデータベースアプリケーションを実行して良い。それに加えて、1つ以上のサブシステム(110、120、130、140、150、160、及び170)及び/又は制御装置(114、124、134、144、154、164及び190)は、実験計画法(DOE)アプリケーション、高性能プロセス制御(APC) アプリケーション、装置異常検出及び分類(FDC)アプリケーション、並びに/又はラン・トゥー・ラン(R2R)アプリケーションを有して良い。
【0046】
SQNB処理からの出力データ及び/又はメッセージは、プロセスの正確さ及び精度を最適化する後続の処理に用いられて良い。データは、リアルタイム変数パラメータとして、SQNB処理へリアルタイムで受け渡されて良い。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、SQNB処理を最適化して良い。
【0047】
ライブラリに基づく処理が用いられるとき、そのライブラリ内のSQNB処理データは、SQNB処理、レシピ、プロファイル、及び/又はモデルを用いることによって生成されて良い。たとえばライブラリ内のSQNB処理データは、シミュレーションによる及び/又は測定されたSQNB処理データ、並びに対応する処理シーケンスデータの組を有して良い。ライブラリに基づくプロセスはリアルタイムで実行されて良い。ライブラリ用のSQNB処理データを生成する他の手順には、機械学習システム(MLS)を用いた処理が含まれて良い。たとえばSQNB処理データを生成する前に、MLSは既知の入出力データを用いて訓練されて良く、かつMLSはSQNB処理データの一部からなる組によって訓練されて良い。

SQNB処理は、一致する条件に出会うときには常に実行される介入及び/又は判断規則を有して良い。介入並びに/又は判断規則及び/若しくは制限は、処理の履歴、使用者の経験、又は処理に関する知識に基づいて設定されて良く、又はホストコンピュータから得られても良い。規則は、警告条件、エラー条件、異常条件、及び/又は警報条件に対してどのように応答するのかを判断する、装置異常検出及び分類(FDC)処理に用いられて良い。規則に基づくFDC処理は、異常を優先させかつ/又は分類し、システム性能を予測し、予防保守スケジュールを予測し、保守のための不稼働時間を減らし、かつシステム内の消耗部品の寿命を延ばすことができる。警告/警報に対して様々な動作が行われて良い。その警告/警報に対して行われる動作は状態に基づく。その状態のデータは、規則、システム/プロセスレシピ、識別番号、搬入ポート番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータの種類によって特定されて良い。
【0048】
成功しなかったSQNB処理は、限界を超えるときに、故障を報告することができる。成功した手順は、限界に近づいているときに、警告メッセージを生成することができる。処理エラーについて予め特定された行為は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。たとえばSQNB処理は、測定処理が失敗したときに、基板の1つ以上の位置でのデータを拒否して良い。
【0049】
SQNB処理は、様々な時間及び/又は位置で、疎な構造及び/又は密な構造の生成、修正、及び/又は評価に用いられて良い。たとえばゲート積層構造の大きさ及び基板の厚さデータは、孤立構造及び/又は入れ子構造付近でそれぞれ異なっていて良い。またゲート積層構造の大きさ及び基板の厚さデータは、開いた領域及び/又は溝アレイ領域付近でそれぞれ異なっていて良い。SQNB処理によって生成される部位は続いて、エッチングされた疎な構造及び/若しくは密な構造用に最適化された部位並びに/又は構造を生成するのに用いられて良い。
【0050】
SQNB処理は、フォトレジスト膜の補強、最適なポリマーの供給、及びプロセスガスの解離の抑制に用いられて良い。従ってフォトレジストの表面粗さを減少させることができる。さらにフォトレジスト膜内に形成された開口部のCDが拡張するのが防止されることで、高精度のパターンの形成を実現することができる。特にこれらの効果は、DC電圧を制御して本明細書に記載された3つの機能−つまりエッチング機能、プラズマ最適化機能、及び電子供給機能−を適切に働かせることによってより改善される。
【0051】
SQNB処理中に堆積された副生成物の量は、プラズマと、DC電極又はチャンバ壁等との間の電位差に依存する。従って、副生成物の堆積はプラズマ電位を制御することによって抑制され、かつ、多出力供給システムからDC電極へ供給される電圧はプラズマ電位を低下させるように制御されうる。プラズマ電位Vpは、-100〜-3000[V]の範囲内の値に設定されることが好ましい。
【0052】
図2Aは、本発明の実施例によるSQNBサブシステムの簡略図を示している。図2Aに図示された実施例では、SQNBサブシステム200が記載されている。SQNBサブシステム200は、第1SQNB処理及び/又は第2SQNB処理の間に始動しうる空間電荷が中性化されたビームによって、基板上のパターニングされていない基板及び/又はパターニングされた基板を用いた第1SQNB処理及び/又は第2SQNB処理を実行する。
【0053】
図2Bは、図2Aに図示されたSQNBサブシステム内において実行される第1SQNB処理及び/又は第2SQNB処理についての典型的な条件を表している。ビーム電子の浮遊電位(Vfe)が示されている。Vfeが存在する理由は、プラズマ中のどこかに、ビーム電子が衝突せず、その代わりにマクスウエル分布する熱電子束の影響下にある絶縁体表面があるためである。これらの表面の浮遊電位は、「熱マクスウエル浮遊電位」である。
【0054】
図2A及び図2Bに図示されているように、SQNBサブシステム200は、上部プラズマ電位(Vp,1)で上部プラズマ212を生成する上部プラズマチャンバ210、及び、前記上部プラズマ電位212よりも大きい切り換え可能なプラズマ電位(Vp,2)で切り換え可能なプラズマ222を生成する切り換え可能なプラズマチャンバ220を有する。前記上部プラズマ212は、前記上部プラズマチャンバ210内の電離可能ガスに出力−たとえば高周波(RF)出力−を結合させることによって生成される一方で、前記切り換え可能なプラズマ222は、前記上部プラズマ212からの電子束(たとえば高エネルギー電子(ee)の高電流、jee)を用いて生成される。さらにSQNBサブシステム200は、前記切り換え可能なプラズマチャンバ220内において直流(DC)状態又は浮遊接地電位となるように基板225を設けて、前記基板225を前記切り換え可能なプラズマ電位の切り換え可能なプラズマ222に暴露させる。
【0055】
前記上部プラズマチャンバ210は、前記上部プラズマ212の点火及び加熱を行うように備えられたプラズマ生成システム216を有する。前記上部プラズマ212は任意の従来のプラズマ生成システムによって加熱されても良い。従来のプラズマ生成システムには、誘導結合プラズマ(ICP)源、変成器結合プラズマ(TCP)源、容量結合プラズマ(CCP)源、電子サイクロトロン共鳴(ECR)源、ヘリコン波源、表面波プラズマ源、スロット面アンテナを有する表面波プラズマ源等が含まれるが、これらに限定されるわけではない。前記上部プラズマ212が任意のプラズマ源によって加熱されて良いとしても、前記上部プラズマ212は、そのプラズマ電位Vp,1の揺らぎを小さくする、すなわち抑制する方法で加熱されることが望ましい。たとえばICP源は、プラズマ電位Vp,1の揺らぎを小さくする、すなわち抑制する実用的な方法である。
【0056】
それに加えて前記上部プラズマチャンバ210は、前記上部プラズマ212と接する境界として機能する電導性表面を有する直流(DC)伝導性接地電極214を有する。DC伝導性接地電極214はDC接地と結合する。前記DC伝導性接地電極214は、前記の上部プラズマ電位(Vp,1)の上部プラズマ212によって駆動するイオンシンクとして機能する。図2Aには一のDC伝導性接地電極214が図示されているが、当該SQNBサブシステム200は1つ以上のDC伝導性接地電極を有して良い。
【0057】
必要ないとはいえ、前記DC伝導性接地電極214は、前記上部プラズマ212と接する比較的大きな領域を有することが望ましい。DC状態での接地面積が大きくなればなるほど、前記上部プラズマ電位は小さくなる。たとえば前記の上部プラズマ212と接するDC伝導性接地電極214の伝導性表面の面積は、前記上部プラズマ212と接する他の表面積よりも大きくて良い。それに加えてたとえば、前記の上部プラズマ212と接するDC伝導性接地電極214の伝導性表面の面積は、前記上部プラズマ212と接する他の表面積の総和よりも大きくて良い。あるいはその代わりに、例として、前記の上部プラズマ212と接するDC伝導性接地電極214の伝導性表面の面積は、前記上部プラズマ212に接する唯一の伝導性表面であっても良い。前記DC伝導性接地電極214は接地電位への最低インピーダンス経路を供して良い。
【0058】
上述したように、前記上部プラズマ212からの(高エネルギー)電子束(すなわち電流jee)が、前記切り換え可能なプラズマチャンバ220内において前記切り換え可能なプラズマ222を発生させ、かつ維持する。前記電子束を制御し、かつ単色の空間電荷が中性化した中性ビームを生成するため、上述の上部プラズマ電位(Vp,1)及び切り換え可能なプラズマ電位(Vp,2)は、たとえ揺らぎがあるとしても、その揺らぎが最小限の状態で安定していなければならない。前記切り換え可能なプラズマ222においてこの安定性を実現するためには、前記切り換え可能なプラズマチャンバ220は、前記切り換え可能なプラズマ222と接する伝導性表面を有するDC伝導性バイアス電極224を有する。前記DC伝導性バイアス電極224はDC電源226と結合する。前記DC電源226は、前記DC伝導性バイアス電極224に正のDC電圧(+VDC)をバイアス印加するように備えられている。その結果、前記切り換え可能なプラズマ電位(Vp,2)は電源(+VDC)によって駆動される境界で駆動するプラズマ電位であるので、Vp,2は約+VDCにまで上昇し、かつ実質的に安定状態のままとなる。図2Aには1つの伝導性バイアス電極224しか図示されていないが、当該SQNBプロセスシステム200は1つ以上のDC伝導性バイアス電極を有しても良い。
【0059】
さらに当該SQNBプロセスシステム200は、前記上部プラズマチャンバ210と前記切り換え可能なプラズマチャンバ220との間に設けられた分離部材230を有する。前記分離部材230は電子ディフューザとして機能して良い。電子拡散は、ポテンシャル差ΔV=Vp,2-Vp,1によって生成される電子加速層を介した電場によって駆動される。前記分離部材230は絶縁体−たとえば石英又はアルミナ−を有して良い。あるいは前記分離部材230は、電気的に浮遊接地電位で、かつ接地電位に対する高いRFインピーダンスを有する誘電体によってコーティングされた伝導性材料を有しても良い。前記電子加速層全体にわたって大きな電場(∇z(Vp,2-Vp,1))が生じるため、前記電子束は、前記切り換え能なプラズマ222における電離を維持するのに十分なエネルギーを有する。しかし当該SQNBプロセスシステム200は任意で、前記切り換え能なプラズマ222をさらに加熱するように備えられたプラズマ加熱システムを有して良い。
【0060】
前記分離部材230は、前記の上部プラズマチャンバ210から切り換え可能なプラズマチャンバ220への高エネルギー電子束の通過を可能にする1つ以上の開口部を有して良い。前記1つ以上の開口部の総面積は前記DC伝導性接地電極214の表面積に対して調節されて良い。それにより前記切り換え可能なプラズマ222から上部プラズマ212への逆イオン電流を最小限に抑制しながら相対的に大きなポテンシャル差ΔV=Vp,2-Vp,1が保証されることで、前記基板225に衝突するイオンのエネルギーを十分な大きさにすることが保証される。
【0061】
図2Aに図示されているように、前記上部プラズマ212内の第1数のイオンが、前記上部プラズマ212から前記の分離部材230での電子加速層を介して前記切り換え可能なプラズマ222へ流れる高エネルギー電子束(すなわち電流jee)とほぼ等しい量−つまり|jj1|〜|jee|−だけ、前記の上部プラズマチャンバ210内のDC伝導性接地電極214へ流れる。
【0062】
上述したように、前記高エネルギー電子束は、前記切り換え可能なプラズマ222を生成するのに十分な高エネルギーを有する。そこで第1数の熱電子と第2数のイオンが生成される。前記熱電子のほとんどは、入り込む高エネルギー電子束(すなわち電流jee)によって前記切り換え可能なプラズマ222が電離する結果飛び出す電子である。しかし前記高エネルギー電子束からの高エネルギー電子の一部は相当量のエネルギーを失うため、熱電子数の一部となると考えられる。
【0063】
デバイ遮蔽のため、前記切り換え可能なプラズマ222のうちの、前記高エネルギー電子束にほぼ等しい量の熱電子だけが、前記DC伝導性バイアス電極224へ流れる(たとえば熱電子による電流jte)。熱電子による電流jteが前記DC伝導性バイアス電極224へ流れる一方で、前記第2数のイオンからの第2イオン束はVp,2で前記基板へ向かって流れる(イオン電流jj2、基板225への高エネルギー電流の総和にほぼ等しいjee、及び前記高エネルギー電子が生成する第2電流jese)。
【0064】
入り込む高エネルギー電子のエネルギーが十分高い場合、相当量の高エネルギー電子束(jee)が、前記切り換え可能なプラズマ222を通り抜けることができて、基板(ウエハ)225に衝突する。しかし電子の起源(つまり高エネルギー電子束jeeからの高エネルギー電子、又は熱電子群からの高エネルギー電子)に関係なく、前記基板シースを通過(つまりポテンシャルの「丘」すなわちVfe-Vp,1を昇る。ここでVfeは高エネルギー電子の浮遊接地電位のポテンシャルである)できる高エネルギー電子だけが基板225に到達する。基板225は浮遊DC接地電位であるので、(Vp,2-Vfeであることを特徴とするイオンエネルギーを有する)前記の切り換え可能なプラズマ222内の第2イオン群によって供されるイオン電流ji2は電流je2に等しくなる(つまり、正味の電流が生じない。すなわち|ji2|〜|je2|、あるいはji2+je2〜ji2+jee+jese〜0である)。あるいはその代わりに、基板225はほぼDC接地電位であっても良い。なぜなら浮遊接地電位での接地面の電位はDC状態での接地電位よりもわずかに大きいことが予想されるからである。
【0065】
当該SQNBサブシステム200のそのような構成では、前記切り換え可能なプラズマポテンシャルの前記上部プラズマポテンシャルよりも大きな値への上昇は、高エネルギー電子ビームが前記切り換え可能なプラズマ222を生成する促進力となる。その一方で、当該SQNBサブシステム200全体での粒子のバランスは、基板225に衝突する電子数(たとえば電流je2)とイオン数(たとえばイオン電流ji2)とを等しくさせる(つまり|je2|〜|ji2|)。この電荷バランスは、基板225へ向かって基板225での第1SQNB処理及び/又は第2SQNB処理を始動させる空間電荷が中性化したビームとして現れる。
【0066】
図3は、本発明の実施例による切り換え可能な中性ビームサブシステムの典型的なブロック図を示している。図示された実施例では、典型的な切り換え可能な擬中性ビーム(SQNB)システムが図示され、かつ、典型的なSQNBシステム300は、少なくとも1つのプラズマ生成チャンバ310と少なくとも1つのSQNBプロセスチャンバ315を有し得るSQNBサブシステム305を有する。1つ以上のプラズマ生成チャンバ310は、上部プラズマ電位で上部プラズマ313を生成し、かつ、少なくとも1つのSQNBプロセスチャンバ315は、パターニングされた基板を用いて、第1SQNB期間中に第1SQNB処理を実行して、第2SQNB期間中に第2SQNB処理を実行するため、汚染物のない真空環境を供しうる。たとえば第1SQNB処理及び/又は第2SQNB処理は、マスク層の硬化、乾燥、縮小、補正、及び/又は、硬化、エッチング、アッシング、洗浄、堆積、並びにこれらの結合を有してよい。
【0067】
プラズマ生成チャンバ310は上部プラズマ領域312を有してよい。上部プラズマ領域312は、第1流速で第1プラズマ生成ガスを受けて、かつ、上部プラズマ313を生成してよい。SQNBプロセスチャンバ315は、上部プラズマ領域312の下流に設けられた切り換え可能なプラズマ領域352を有してよい。SQNBプロセスチャンバ315は、上部プラズマ領域312から電子束と1種類以上のプラズマ種を受け取り、かつ、切り換え可能なプラズマ353を切り換え可能なプラズマ電位及び第2圧力で生成してよい。一部の例では、1つ以上の分離部材370が、上部プラズマ領域312と切り換え可能なプラズマ領域352との間に設けられてよい。
【0068】
SQNBシステム300は、少なくとも1つの第1供給ライン346を用いることによってプラズマ生成チャンバ310内の1つ以上の第1ガス分配素子347と結合可能な上部ガス供給システム345を有してよい。第1ガス分配素子347は、プラズマ生成チャンバ310内部に設けられ、かつ、第1プラズマ生成ガスを、上部プラズマ領域312内の1つ以上の領域へ導入するのに用いられてよい。1つ以上の制御装置395は上部ガス供給システム345と結合してよい。少なくとも1つの制御装置395は、上部ガス供給システム345の制御及び/又は監視を行ってよい。それに加えて、第1ガス分配素子347は、各異なるガスを、各異なる流速で、上部プラズマ領域312内の1つ以上の領域へ供してよい。あるいはその代わりに、異なる導入方法が用いられてもよい。第1プラズマ生成ガスは、陽性のガス及び/又は陰性のガスを有してよい。たとえば第1プラズマ生成ガスは、希ガス、酸素含有ガス、窒素含有ガス、フッ素含有ガス、及び/又は炭素含有ガスを有してよい。他の例では、第1プラズマ生成ガスは、パターニングされた基板325を用いてSQNB処理を実行するのに適した任意のガスを有してよく、かつ、第1プラズマ生成ガスは、パターニングされた基板325を用いてSQNB処理を実行するのに適した化学組成、原子、又は分子を有するガスを有してよい。これらの化学組成物は、エッチャント、膜生成ガス、希釈剤、洗浄ガス等を有してよい。上部ガス供給システム345は、1つ以上のガス供給体又はガス供給源、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ、1つ以上の測定装置等を有してよい。第1供給ライン346及び/又は第1ガス分配素子347は、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ等を有してよい。
【0069】
それに加えて、典型的なSQNBシステム300は多巻誘導コイル362と結合しうるプラズマ生成源360を有してよく、かつ、プラズマ生成源360は、整合ネットワーク361を介してRF出力を多巻誘導コイル362と結合させる高周波(RF)発生装置を有してよい。1つ以上の制御装置395はプラズマ生成源360及び整合ネットワーク361と結合してよい。少なくとも1つの制御装置395は、プラズマ生成源360及び整合ネットワーク361の制御及び/又は監視を行ってよい。たとえばプラズマ生成源360からのRF出力は約10[W]〜約700[W]の範囲であってよい。RF出力は、多巻誘導コイル362から、誘電窓363を介して、上部プラズマ領域312内の上部プラズマ313と誘導結合してよい。整合ネットワーク361は、反射出力を減少させることによってプラズマへのRF出力の移送を改善するのに用いられ、かつ、移送及び/又は反射出力を測定するのに用いられてもよい。整合ネットワーク形態(たとえばL型、π型、T型等)及び自動制御方法は当業者には周知である。
【0070】
多巻誘導コイル362へ印加されるRF出力の典型的な周波数は約2MHz〜約100MHzの範囲であってよい。それに加えて、スロット型ファラデーシールド364が、多巻誘導コイル362とプラズマとの間での結合を減少させるのに用いられてよい。上部プラズマ313は任意のプラズマ源によって加熱されてよいが、上部プラズマは、図2に図示されたプラズマ電位Vupでの揺らぎを最小にする方法によって加熱されるのが望ましい。
【0071】
代替実施例では、異なるプラズマ生成システム(図示されていない)が、プラズマ生成チャンバ310と結合して、上部プラズマ領域312内で上部プラズマ313を生成してもよい。異なるプラズマ生成システムは、容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、変成器結合プラズマ(TCP)、表面波プラズマ、ヘリコン波プラズマ、電子サイクロトロン共鳴(ECR)加熱プラズマ、又は当業者知られた他のプラズマを生成してよい。それに加えて、揺らぎ(Vpl)を減少させる又は最小にするICP源が用いられてもよい。
【0072】
一部の実施例では、SQNBシステム300は、上部電源340、該上部電源340と結合しうる上部多位置スイッチ342、及び上部フィードスルー素子314を有してよい。1つ以上の制御装置395は上部電源340及び上部多位置スイッチ342と結合してよい。少なくとも1つの制御装置395は、上部電源340及び上部多位置スイッチ342の制御及び/又は監視を行ってよい。たとえば上部フィードスルー素子314はフィルタ及び/又はセンサを有してよい。上部フィードスルー素子314は、上部多位置スイッチ342の第1共通ポート(c)を、プラズマ生成チャンバ310内の上部直流(DC)伝導性電極311と結合させるのに用いられてよい。上部フィードスルー素子314は、上部直流(DC)伝導性電極311への電気的接続を可能にし得る。
【0073】
それに加えて、上部多位置スイッチ342は、共通ポート(c)、接地電位と結合可能な第1切り換え可能なポート(a)、及び、上部電源340と結合可能な第2切り換え可能なポート(b)を有してよい。第1位置(経路c-a)が用いられるとき、上部DC伝導性電極311は接地電位と結合してよい。第2位置(経路c-b)が用いられるとき、上部DC伝導性電極311は上部電源340と結合してよい。たとえば、上部電源340はDC電力及び/又はAC電力を供してよく、かつ、上部電源340からの出力は、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。一部の例では、上部DC伝導性電極311が上部電源340と結合するとき、上部電源340は、下部バイアス電極317へ供されるバイアスDC電圧未満のDC電圧を供してよい。
【0074】
他の実施例では、上部DC伝導性電極311はグランドと結合してよく、かつ、上部フィードスルー素子314、上部電源340、及び/又は上部多位置スイッチ342は必要とされない。さらに他の実施例では、上部DC伝導性電極311は、上部電源340を用いてグランドと結合してもよい。
【0075】
上部DC伝導性電極311は、上部プラズマ313と接する境界として機能する伝導性表面を有してよい。たとえば上部DC伝導性電極311は、ドーピングされたシリコン電極を有してよい。上部DC伝導性電極311は、上部プラズマ電位(Vpl)にて上部プラズマ313によって駆動するイオンシンクとしても機能し得る。図3には単独の素子が図示されているが、SQNBシステム300は、1つ以上の上部DC伝導性電極311、1つ以上の上部電源、及び、1つ以上の上部多位置スイッチを有してもよい。
【0076】
上部DC伝導性電極311が接地されるときには、上部DC伝導性電極311は、上部プラズマ313と接する相対的に大きな面積を有することが望ましい。上部DC伝導性電極311がDCグランドと結合するとき、上部プラズマ電位は、上部DC伝導性電極311の表面積を増大させることによって低下させることができる。たとえば上部プラズマ313と接する上部DC伝導性電極311の伝導面の表面積は、上部プラズマ313と接する他の表面積よりも大きくてよい。それに加えてたとえば、上部プラズマ313と接する上部DC伝導性電極311の伝導面の表面積は、上部プラズマ313と接する他の表面積すべての総面積よりも大きくてよい。あるいはその代わりに例として、上部プラズマ313と接する上部DC伝導性電極311の伝導面の表面積は、上部プラズマ313と接する唯一の伝導性表面であってもよい。上部DC伝導性電極311は、DCグランドに対して最低のインピーダンスを与えうる。
【0077】
それに加えて、SQNBサブシステム305は、上部プラズマ領域312と切り換え可能なプラズマ領域352との間に設けられた少なくとも1つの分離部材370を有してよい。分離部材370は1つ以上の開口部372を有してよい。1つ以上の開口部372は複数のビーム350を生成してよい。複数のビーム350は、少なくとも1種類のプラズマ種だけではなく、上部プラズマ領域312内の上部プラズマ313から切り換え可能なプラズマ領域352への電子束も含んでよい。たとえば複数のビーム350中の電子及び/又はイオンは、切り換え可能なプラズマ領域352内に切り換え可能なプラズマ353を生成するのに用いられてよい。たとえば分離部材370は複数の開口部372を有してよく、かつ、開口部372の各々はビーム角(φチルダ)を有し得るビーム350を生成してよい。ビーム角(φチルダ)は約80°〜約89.5°まで変化してよい。一部の例では、ビーム角(φチルダ)は、電子/粒子の角度の軌跡の確率分布関数を用いることによって定義されてよい。
【0078】
分離部材370中の1つ以上の開口部372は、デバイ長さを超える開口部を有してよい。つまり横方向寸法すなわち直径がデバイ長よりも長い。開口部372は、適切な電子の輸送を可能とするのに十分な大きさであってよい。開口部372は、上部プラズマ電位と切り換え可能なプラズマ電位との間で十分高い電位となることを可能にし、かつ、切り換え可能なプラズマ353と上部プラズマ313との間での逆イオン流を減少させることを可能にするのに十分な小ささでよい。さらに1つ以上の開口部372は、上部プラズマ領域312内での第1圧力と切り換え可能なプラズマ領域352内の第2圧力との間での圧力差を維持するのに十分な小ささであってよい。
【0079】
さらに図3を参照すると、SQNBシステム300は、SQNBプロセスチャンバ315と結合する圧力制御システム354を有してよい。1つ以上の制御装置395は圧力制御システム354と結合してよい。少なくとも1つの制御装置395は、圧力制御システム354の制御及び/又は監視を行ってよい。一部の例では、圧力制御システム354は、真空ポンプ358及びSQNBプロセスチャンバ315と結合する真空バルブ359を有してよく、かつ、圧力制御システム354は、SQNBプロセスチャンバ315を排気し、該SQNBプロセスチャンバ315内の圧力を制御してよい。あるいはその代わりに、圧力制御システム354は、異なる数のポンプ及び/又は異なる数のフローコントロール装置を用いてよい。真空ポンプ358は最大毎秒5000リットル(以上)の速度での排気が可能なターボ分子ポンプ(TMP)を有してよい。真空バルブ359はゲートバルブを有してよい。真空バルブ359は、SQNBプロセスチャンバ315の底部に形成される排出空間と結合してよい。さらに、チャンバの条件を監視する1つ以上の第1センサ338がSQNBプロセスチャンバ315と結合し、かつ、1つ以上の第1センサ338は、SQNBプロセスチャンバ315内の圧力を測定するのに用いられてよい。
【0080】
それに加えて、切り換え可能なホルダ320は、該切り換え可能なホルダ320の周辺端部を超えて延在するバフル部材321によって取り囲まれてよい。バフル部材321は、圧力制御システム354によって切り換え可能なプラズマ領域352へ供給される排気速度を均一に分布させるように機能してよい。バフル部材321は、誘電材料−たとえば石英又はアルミナ−から作製されて良い。バフル部材321は、切り換え可能なプラズマ353のため、高いRFインピーダンスをグランドに与えてよい。
【0081】
一部の実施例では、半導体基板用の搬送ポート301が、SQNBプロセスチャンバ315の側壁内に形成され、かつ、上に取り付けられたゲートバルブ302によって開閉されてよい。1つ以上の制御装置395はゲートバルブ302と結合してよい。少なくとも1つの制御装置395は、ゲートバルブ302の制御及び/又は監視を行ってよい。パターニングされた基板325はたとえば、搬送サブシステム(図1の170)から搬送ポート301とゲートバブル302を介して、SQNBプロセスチャンバ315に対して搬入出されてよい。パターニングされた基板325は、切り換え可能な基板ホルダ320内部に格納されて、内部に格納された装置(図示されていない)によって機械的に並進する基板リフトピン(図示されていない)によって受け取られてよい。パターニングされた基板325が搬送システムから受け取られた後、パターニングされた基板325は、切り換え可能な基板ホルダ320の上面にまで下げられてよい。基板リフトピンの設計及び実装は、当業者には周知である。あるいはその代わりにパターニングされていない基板が用いられてもよい。
【0082】
SQNBシステム300は、少なくとも1つの第2供給ライン356を用いることによって、SQNBプロセスチャンバ315内の切り換え可能なガス分配素子357と結合可能な切り換え可能なガス供給システム355を有してよい。1つ以上の制御装置395は切り換え可能なガス供給システム355と結合してよい。少なくとも1つの制御装置395は、切り換え可能なガス供給システム355の制御及び/又は監視を行ってよい。切り換え可能なガス供給システム355と切り換え可能なガス分配素子357は、第1SQNB処理中に、少なくとも1種類の第1SQNBプロセスガスを切り換え可能なプラズマ領域352へ導入し、かつ、第2SQNB処理中に、少なくとも1種類の第2SQNBプロセスガスを切り換え可能なプラズマ領域352へ導入するのに用いられてよい。たとえば第1SQNB処理及び/又は第2SQNB処理は、硬化ガス、乾燥ガス、縮小ガス、及び/又は補正ガス、エッチングガス、アッシングガス、洗浄ガス、若しくは堆積ガス、又はこれらの混合ガスを有してよい。あるいはその代わりに異なる導入方法が用いられてもよい。
【0083】
切り換え可能なガス分配素子357は、プロセスガスを切り換え可能なプラズマ領域352内の1つ以上の領域へ導入するのに用いられてよい。それに加えて、切り換え可能なガス分配素子357は、異なるガスを、異なる流速で、切り換え可能なプラズマ領域352内の1つ以上の領域へ与えてよい。あるいはその代わりに異なる導入方法が用いられてもよい。プロセスガスは、陽性のガス及び/又は陰性のガスを有してよい。たとえばプロセスガスは、希ガス、酸素含有ガス、窒素含有ガス、フッ素含有ガス、及び/又は炭素含有ガスを有してよい。他の例では、プロセスガスは、パターニングされた基板325を用いてSQNB処理を実行するのに適した任意のガスを有してよく、かつ、プロセスガスは、パターニングされた基板325を用いてSQNB処理を実行するのに適した化学組成、原子、又は分子を有するガスを有してよい。これらの化学組成物は、エッチャント、膜生成ガス、希釈剤、洗浄ガス等を有してよい。切り換え可能なガス供給システム355は、1つ以上のガス供給体又はガス供給源、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ、1つ以上の測定装置等を有してよい。第2供給ライン356及び/又は切り換え可能なガス分配素子357は、1つ以上の制御バルブ、1つ以上のフィルタ、1つ以上のマスフローコントローラ等を有してよい。
【0084】
図3に図示されているように、SQNBプロセスチャンバ315は、グランドと結合可能な1つ以上のライナ部材316を有してよい。たとえば1つ以上のライナ部材316は、切り換え可能なプラズマ領域352内において、SQNBプロセスチャンバの1つ以上の壁と切り換え可能なプラズマ353との間に設けられてよい。それに加えて、各チャンバライナ部材316は、誘電材料−たとえば石英又はアルミナ−から作製されてよく、かつ、チャンバライナ部材316は、切り換え可能なプラズマ353のため、グランドへ高いRFインピーダンスを与えてよい。
【0085】
それに加えて、SQNBプロセスチャンバ315は、少なくとも1つの絶縁体318を用いることによってSQNBプロセスチャンバ315から絶縁されうる1つ以上の低バイアス電極317を有してよい。低バイアス電極317は、切り換え可能なプラズマ353と接する少なくとも1つの伝導性表面を有してよい。低バイアス電極317は、伝導性材料−たとえば金属又はドーピングされたシリコン−を有してよい。図3には単一の低バイアス電極317が図示されているが、SQNBシステム300は1つ以上の低バイアス電極を有してもよい。
【0086】
一部の実施例では、SQNBシステム300は、バイアス電源380、該バイアス電源380と結合する下部多位置スイッチ382、及び、下部フィードスルー素子384を有してよい。1つ以上の制御装置395はバイアス電源380及び/又は下部多位置スイッチ382と結合してよい。少なくとも1つの制御装置395は、バイアス電源380及び/又は下部多位置スイッチ382の制御及び/又は監視を行ってよい。たとえば下部フィードスルー素子384は、フィルタ及び/又はセンサを有してよく、かつ、下部バイアス電極317への電気的接続を可能にし得る。下部フィードスルー素子384は、下部多位置スイッチ382の第1共通ポート(d)を、SQNBプロセスチャンバ315の下部バイアス電極317へ結合するのに用いられてよい。それに加えて、下部多位置スイッチ382は、下部電源380と結合する第1切り換え可能なポート(e)、及び、接地電位と結合する第2切り換え可能なポート(f)を有してよい。第1位置(経路(d-e))が用いられるとき、下部バイアス電極317は下部電源380と結合してよい。第2位置(経路(d-f))が用いられるとき、下部バイアス電極317は接地電位と結合してよい。たとえば、下部電源380はDC電力及び/又はAC電力を供してよく、かつ、下部電源380からの出力は、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0087】
他の実施例では、下部バイアス電極317はグランドと結合してよく、かつ、下部フィードスルー素子384、下部電源380、及び/又は下部多位置スイッチ382は必要とされない。さらに他の実施例では、下部バイアス電極317は、下部電源380と結合してもよい。
【0088】
バイアス電源380及び下部バイアス電極317は、電子束を正しい方向に駆動させるため、切り換え可能なプラズマ電位を、上部プラズマ電位よりも高い値に上昇させてよい。必要なことではないが、下部バイアス電極317は、切り換え可能なプラズマ353と接する相対的に大きな面積を有する。+VDCでの面積が大きくなればなるほど、切り換え可能なプラズマ電位は+VDCに近づく。例として、下部バイアス電極317の総面積は、切り換え可能なプラズマ353と接する他の伝導性表面すべての合計よりも大きくてよい。あるいはその代わりに、例として、下部バイアス電極317の総面積は、切り換え可能なプラズマ353と接する唯一の伝導性表面であってもよい。
【0089】
バイアス電源380は可変DC電源を有してよい。それに加えて、バイアス電源380はバイポーラDC電源を有してよい。バイアス電源380は、該バイアス電源380の極性、電流、電圧、若しくはオン/オフ状態の監視、調節、又は制御のうちの少なくとも1つを実行するシステムを有してよい。電気フィルタが、バイアス電源380からRF出力を切り離すのに利用されてよい。
【0090】
たとえばバイアス電源380によって下部バイアス電極317に印加されるDC電圧は、約0[V]〜約10000[V]の範囲であってよい。望ましくは、バイアス電源380によって下部バイアス電極317に印加されるDC電圧は、約50[V]〜約5000[V]の範囲であってよい。それに加えて、DC電圧は正の極性を有することが望ましい。さらにDC電圧は、約50[V]よりも大きな絶対値を有する正の電圧であることが望ましい。
【0091】
さらに図3を参照すると、SQNBプロセスチャンバ315は、パターニングされた基板325を支持する切り換え可能な基板ホルダ320を有してよい。切り換え可能な基板ホルダ320は静電固定(ESC)電極323を有してよい。ESC電極323は、少なくとも1つのフィードスルー(ft)を用いて固定用電源322と結合し、かつ、パターニングされた基板325を、切り換え可能な基板ホルダ320へ固定するのに用いられてよい。1つ以上の制御装置395は固定用電源322と結合してよい。少なくとも1つの制御装置395は、固定用電源322の制御及び/又は監視を行ってよい。一部の実施例では、静電固定(ESC)電極323と固定用電源322は、パターニングされた基板325と切り換え可能な基板ホルダ320との間での伝熱を改善させるのに用いられてよい。他の実施例では、静電固定(ESC)電極323は、パターニングされた基板325を切り換え可能な基板ホルダ320から分離するのに用いられてよい。
【0092】
それに加えて、切り換え可能な基板ホルダ320は背面ガス素子327を有してよい。背面ガス素子327は、少なくとも1つのフィードスルー(ft)を用いて固定用電源322と結合し、かつ、パターニングされた基板325と切り換え可能な基板ホルダ320との間でのガスギャップ伝熱率を改善させるため、パターニングされた基板325の背面にガスを導入してよい。1つ以上の制御装置395は背面ガス供給システム326と結合してよい。少なくとも1つの制御装置395は、背面ガス供給システム326の制御及び/又は監視を行ってよい。昇温又は降温される際にパターニングされた基板325の温度制御が必要とされるときに、係るシステムは利用されてよい。たとえば、背面ガス供給システム326は2領域(中央/端部)背面ガス素子327と結合してよく、かつ、ヘリウムガスのギャップ圧力は、パターニングされた基板325の中央と端部との間で独立に変化してよい。他の実施例では、背面ガス素子327は、パターニングされた基板325を切り換え可能な基板ホルダ320から分離するのに用いられてよい。
【0093】
それに加えて、SQNBシステム300は温度制御システム328を有してよい。温度制御システム328は、少なくとも1つのフィードスルー(ft)を用いて固定用電源322と結合し、かつ、パターニングされた基板325の温度を制御及び調節してよい。温度制御システム328は、1つ以上の温度制御素子329と結合してよい。1つ以上の制御装置395は温度制御システム328と結合してよい。少なくとも1つの制御装置395は、温度制御システム328の制御及び/又は監視を行ってよい。たとえば温度制御素子329は、熱交換流体を再循環させるのに用いられてよい。それに加えて温度制御素子329は、切り換え可能な基板ホルダ320内に含まれうる加熱/冷却素子−たとえば抵抗加熱素子又は熱電ヒータ/クーラ−、SQNBプロセスチャンバ315のチャンバ壁、及び、該SQNBプロセスチャンバ315内部の他の任意の素子を有してよい。一部の実施例では、背面ガス供給システム326と結合する2領域背面ガス素子327、及び、温度制御システム328と結合する温度制御素子329は、基板の第1端部温度と第1中央温度を設定してよい。第1端部温度と第1中央温度は、約0℃〜約100℃であってよい。
【0094】
さらに他の実施例では、SQNBシステム300は別の基板バイアス部材を有しかつ、切り換え可能な基板ホルダ320は、少なくとも1つの分離素子335を用いることによってSQNBプロセスチャンバ315内の底部壁から電気的に分離されてよい。切り換え可能な基板ホルダ320は基板バイアス電極333を有してよい。基板バイアス電極333は、バイアス生成装置330、フィルタネットワーク331、第1多位置スイッチ332、及び/又は第1フィードスルー素子334と結合してよい。1つ以上の制御装置395は生成装置330、フィルタネットワーク331、第1多位置スイッチ332、及び/又は第1フィードスルー素子334と結合してよい。少なくとも1つの制御装置395は、生成装置330、フィルタネットワーク331、第1多位置スイッチ332、及び/又は第1フィードスルー素子334の制御及び/又は監視を行ってよい。たとえば第1フィードスルー素子334は、フィルタ及び/又はセンサを有し、かつ、基板バイアス電極333への電気的接続を可能にしてよい。第1フィードスルー素子334は、第1多位置スイッチ332の共通ポート(g)を切り換え可能な基板ホルダ320内の基板バイアス電極333に結合するのに用いられてよい。それに加えて、第1多位置スイッチ332は、グランド電位と結合可能な第1切り換え可能なポート(h)、分離可能な第1切り換え可能なポート(i)、及び、フィルタネットワーク331と結合可能な第3切り換え可能なポート(j)を有してよい。第1位置(経路(g-h))が用いられるとき、基板バイアス電極333及び/又は切り換え可能な基板ホルダ320は、グランド電位と結合してよい。第2経路(g-i)が用いられるとき、基板バイアス電極333及び/又は切り換え可能な基板ホルダ320は、分離されてよい。第3経路(g-j)が用いられるとき、基板バイアス電極333及び/又は切り換え可能な基板ホルダ320は、フィルタネットワーク331を用いることによって、バイアス生成装置330と結合してよい。一部の例では、バイアス生成装置330はDC電力及び/又はAC電力を供してよく、かつ、バイアス生成装置330からの出力は、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。他の例では、SQNB処理中、バイアス生成装置330は1つ以上のRF信号を供し、RF信号周波数は約0.1MHz〜約100MHzの範囲であってよく、かつ、RF信号出力は約10[W]〜約1000[W]の範囲で変化してよい。
【0095】
他の実施例では、切り換え可能な基板ホルダ320はグランドと結合してもよいし、又は分離されてもよく、かつ、バイアス生成装置330、フィルタネットワーク331、第1フィードスルー素子334、及び第1多位置スイッチ332は必要ない。さらに他の実施例では、切り換え可能な基板ホルダ320は、バイアス生成装置330及び/又はフィルタネットワーク331を用いることによって、グランドと結合してもよいし、又は分離されてもよい。
【0096】
切り換え可能な基板ホルダ320がグランドと結合するとき、パターニングされた基板325は浮遊電位であってよい。従って切り換え可能なプラズマ353が接する唯一のグランドは、パターニングされた基板325によって供される浮遊電位である。たとえばパターニングされた基板325が切り換え可能な基板ホルダ320に固定されるとき、セラミックの静電固定(ESC)層は、パターニングされた基板325を切り換え可能な基板ホルダ320から分離してよい。たとえばESC電圧は約2000[V]〜約3000[V]の範囲で変化してよい。
【0097】
集束リング306が用いられるとき、集束リング306は、シリコン含有材料を有し、かつ、切り換え可能な基板ホルダ320の上部に設けられてよい。一部の例では、集束リング306は、静電電極323、背面ガス素子327、及び、パターニングされた基板325を取り囲むことで、基板端部での均一性を改善してよい。他の例では、集束リング306は、パターニングされた基板325の端部温度を調節するのに用いられる補正リング部(図示されていない)を有してもよい。様々な実施例では、伝導性又は非伝導性集束リングが用いられてよい。
【0098】
内側堆積シールド308が用いられるとき、内側堆積シールド308は、基板ホルダシールド307と着脱可能なように結合して、第1SQNB処理及び/又は第2SQNB処理中に生成される副生成物が、切り換え可能な基板ホルダ320上に堆積されるのを防止してよい。あるいはその代わりに、内側堆積シールド308及び/又は基板ホルダシールド307は必要とされない。バフル部材321及び基板ホルダシールド307は、セラミック−たとえばY2O3−で被覆されたアルミニウム製の本体を有してよい。
【0099】
図3に図示されているように、SQNBシステム300は、切り換え可能なプラズマ領域352内の切り換え可能なプラズマ353から放出される光を監視する1つ以上の光学装置、及び/又は、排出ガスを監視する1つ以上のガス検知装置を有してよい。センサ(338,339)は、終点検出(EPD)として用いられ得る光センサを有し、かつ、EPDデータを供してよい。たとえば光放出分光(OES)が用いられてもよい。それに加えてセンサ(338,339)は、電流及び/若しくは電圧プローブ、パワーメータ、スペクトルアナライザ、並びに/又はRFインピーダンスアナライザを有してよい。さらに電気信号の測定−たとえば電圧又は電流の時間追跡−は、離散フーリエ級数表現(周期的信号であることを仮定する)を用いて、信号を周波数領域へ変換することを可能にする。その後フーリエスペクトル(時間変化する信号については周波数スペクトル)は、プラズマの状態を評価するように監視及び解析されてよい。
【0100】
それに加えて、SQNBシステム300は1つ以上の制御装置395を有してよい。1つ以上の制御装置395は、1つ以上のマイクロプロセッサ、1つ以上のメモリ素子、並びに、1つ以上のアナログ及び/又はデジタルI/O装置を有してよい。1つ以上のアナログ及び/又はデジタルI/O装置は、SQNBシステム300からの出力を監視するだけではなく、SQNBシステム300とやり取りしてSQNBシステムを始動させるのに十分な制御電圧を生成することが可能である。図3に図示されているように、制御装置395は、固定用電源322、背面ガス供給システム326、温度制御システム328、バイアス生成装置330、フィルタネットワーク331、第1多位置スイッチ332、センサ(338,339)、上部電源340、上部多位置スイッチ342、上部ガス供給システム345、切り換え可能なガス供給システム355、圧力制御システム354、プラズマ生成源360、バイアス電源380、及び、下部多位置スイッチ382と結合して、情報をやり取りしてよい。メモリ内に記憶された1つ以上のプログラムは、記憶されたプロセスレシピに従って、SQNBシステム300の上述の部材との相互作用に利用されてよい。1つ以上の制御装置395は、メモリ内に含まれる1つ以上の命令からなる1つ以上のシーケンスを実行する処理装置に応答して、マイクロプロセッサに基づく本発明の処理工程の一部又は全部を実行する汎用コンピュータシステムで実装されても良い。そのような命令は、他のコンピュータによる読み取りが可能な媒体(たとえばハードディスク又は取り外し可能な媒体ドライブ)から制御装置へ読み取られて良い。多重処理装置内の1つ以上の処理装置はまた、主メモリ内に含まれる命令のシーケンスを実行する制御装置マイクロプロセッサとして用いられても良い。代替実施例では、ハードウエアにより実装された回路は、ソフトウエア命令に替わって、又はそれと併用されて良い。よって実施例はハードウエア回路及びソフトウエアの特定の組み合わせに限定されない。
【0101】
様々な実施例では、上部ガス供給システムに係るプラズマ種は、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第1ガス分配素子347は、上部プラズマ領域312の様々な領域に様々な流速を与えてよい。それに加えて、切り換え可能なガス供給システム355に係るプラズマ種は、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第2ガス分配素子357は、切り換え可能なプラズマ領域352の様々な領域に様々な流速を与えてよい。
【0102】
第1プラズマ生成ガス及び/又は第1SQNBプロセスガスが、少なくとも1種類のフルオロカーボンガス及び少なくとも1種類の不活性ガスを有するとき、第1フルオロカーボンガスの流速は約10sccm〜約50sccmの範囲で変化し、第1不活性ガスの流速は約3sccm〜約20sccmの範囲で変化し、フルオロカーボンガスは、C4F6,C4F8,C5F8,又はCF4を有し、かつ、不活性ガスは、Ar、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、及び/又はキセノン(Xe)を有する。
【0103】
第1プラズマ生成ガス及び/又は第1SQNBプロセスガスがCOを有するとき、CO流速は約2sccm〜約20sccmの範囲で変化してよい。
【0104】
例として、陽性の放電では、(利用されるプラズマ源の種類に依存して)電子密度は約1010cm-3〜1013cm-3の範囲で変化し、かつ、電子温度は約1eV〜10eVの範囲で変化してよい。
【0105】
図3に図示されているように、複数のビーム350は、上部プラズマ領域312と切り換え可能なプラズマ領域352との間の分離部材370を介して生じる電子束を有してよい。電子の輸送は、電場により増進される拡散により駆動される。ここで前記電場は、上部プラズマ電位と切り換え可能なプラズマ電位との間の電位差により発生してよい。複数のビーム350は、切り換え可能なプラズマ中での電離を維持するのに十分高いエネルギーの電子束を有してよい。
【0106】
第1SQNBプロセス及び/又は第2SQNBプロセスがSQNBシステム300によって実行されるとき、ゲートバルブ302が開き、かつ、パターニングされた基板325が、SQNBプロセスチャンバ315へ搬入されて、切り換え可能な基板ホルダ320上に設けられる。プラズマ生成チャンバ310は上部プラズマ種を供してよい。SQNBプロセスチャンバ315は、パターニングされた基板325の表面に隣接する切り換え可能なプラズマ領域352内での切り換え可能なプラズマ353の生成を助けるように上部プラズマ種を用いてよい。切り換え可能なプラズマ種は、フルオロカーボン(CxFy)−たとえばC4F8−を有し、かつ、他の成分−たとえばAr又はCO−を含んでよい。上部プラズマ種(イオン)及び/又は電子の流速は、第1SQNBプロセス及び/又は第2SQNBプロセスレシピを用いて設定されてよい。第1SQNB処理中、電離可能ガス又はその混合ガスが、切り換え可能なガス供給システム355から導入されてよく、かつ、プロセス圧力は圧力制御システム354を用いて調節されてよい。それに加えて、SQNB処理中、電離可能なプロセスガス又は複数のプロセスガスの混合ガスは、切り換え可能なガス供給システム355から導入されてよく、かつ、プロセス圧力は圧力制御システム354を用いて調節されてよい。たとえば様々な第1SQNBプロセス及び/又は第2SQNBプロセス中、プラズマ生成チャンバ310内部での圧力は約1mTorr〜約1200mTorrの範囲であってよく、かつ、SQNBプロセスチャンバ315内部での圧力は約0.1mTorr〜約150mTorrの範囲であってよい。他の例では、他の第1SQNBプロセス及び/又は第2SQNBプロセス中、プラズマ生成チャンバ310内部での圧力は約10mTorr〜約150mTorrの範囲であってよく、かつ、SQNBプロセスチャンバ315内部での圧力は約1mTorr〜約15mTorrの範囲であってよい。
【0107】
SQNBプロセス中、RF信号が、所定の出力レベルで、バイアス生成装置330から基板バイアス電極333へ印加されることで、切り換え可能なプラズマ領域352内に生成される切り換え可能なプラズマ353を維持及び制御してよい。たとえば上部プラズマ種、電子、及び/又はプロセスガスがSQNBプロセスチャンバ315へ供給されるとき、RF信号は、1つ以上の信号出力レベルで下部電極へイオンを引きつけ得る。それに加えて、所定のDC電圧が、バイアス電源380から1つ以上のDC伝導性バイアス電極へ供給されてよい。さらに他のDC電圧が、固定用電源322から静電電極323へ印加されることで、切り換え可能な基板ホルダ320上で半導体基板を固定してよい。切り換え可能なプラズマ353内で生成されるラジカル及びイオンは、パターニングされた基板325上のフォトレジスト層を処理するのに用いられてよい。
【0108】
1つ以上のセンサ(338,339)がプラズマ状態を検出してよい。それにより制御装置395は、検出されたプラズマ状態を用いることによって、SQNBサブシステム305、第1SQNB処理(レシピ)パラメータ、及び/又は第2SQNB処理(レシピ)パラメータを制御してよい。それに加えて、1つ以上のセンサ(338,339)は、第1SQNB処理及び/又は第2SQNB処理中、プラズマシース長及び/又は電子密度を測定するのに用いられてよい。
【0109】
パターニングされた基板325上のフォトレジスト膜が193nmフォトレジスト材料を有する場合、その193nmフォトレジスト材料は、SQNB処理中に電子が照射されたときに、そのポリマー構造を変化させる。193nmフォトレジスト材料の組成が、レジストの架橋反応によって再構成されるとき、その193nmフォトレジスト材料のエッチング耐性は増大し、かつ、その193nmフォトレジスト材料の表面粗さは減少しうる。従ってプラズマ状態は、電子の照射によって193nmフォトレジスト材料(具体的にはArFレジスト材料)のエッチング耐性特性を改善するように、制御装置395によって制御されうる。
【0110】
図4は、本発明の実施例による切り換え可能な擬中性ビーム(SQNB)処理の典型的なフローダイアグラムを示している。図示された実施例では、たとえば図2A、図2B、及び図3に図示されたSQNBサブシステムを用いることによって、1つ以上のパターニングされた基板325上での1つ以上のSQNB処理を実行する処理400が供される。たとえばSQNB処理は、マスク層の硬化、乾燥、縮小、補正、及び/若しくは、硬化、エッチング、アッシング、洗浄、堆積、又はこれらの組み合わせを有してよい。
【0111】
410では、第1組のパターニングされた基板が、1つ以上のサブシステム(110、120、130、140、150、160、及び170)と結合する搬送サブシステムによって受け取られてよい。あるいはその代わりに、パターニングされていない基板が、搬送サブシステム(図1の170)によって受け取られてよい。各パターニングされた基板は、上に複数の第1ゲート積層体(図5Aの501)を有してよい。第1ゲート積層体(図5Aの501)は、複数のゲート関連マスク部位(図5Aの550)及び複数の別な層(図5A-5Bの510、515、520、525、530、535、540、及び545)を有してよい。あるいはその代わりに、第1ゲート積層体(図5Aの501)は異なる構成をとってもよい。1つ以上の制御装置(114、124、134、144、154、164、及び190)は、1つ以上の第1組のパターニングされた基板に係るリアルタイム及び/若しくは履歴データを受け取り、決定し、並びに/又は、送るのに用いられてよい。
【0112】
415では、第1組のパターニングされた基板についての第1SQNB関連処理シーケンスが、1つ以上の制御装置(114、124、134、144、154、164、及び190)を用いることによって決定されてよい。第1SQNB関連処理シーケンスは、1つ以上の硬化処理、1つ以上の乾燥処理、1つ以上の縮小処理、1つ以上の補正処理、及び/若しくは、1つ以上の硬化処理、1つ以上のエッチング処理、1つ以上のアッシング処理、1つ以上の洗浄処理、1つ以上の評価処理、1つ以上の検証処理、1つ以上の測定処理、及び/又は1つ以上の堆積処理を有してよい。
【0113】
一部の実施例では、第1SQNB関連処理シーケンスにおける処理は、図2A、図2B、及び図3に図示されたような構成となりうるSQNBサブシステム(図1の150)を用いて実行されてよい。他の実施例では、第1SQNB関連処理シーケンスにおける処理は、1つ以上の他のサブシステム(110、120、130、140、150、160、及び170)を用いて実行されてよい。それに加えて、検証処理は、1つ以上のサブシステム(110、120、130、140、150、160、及び170)を用いて実行されてよい。たとえば、第1組のパターニングされた基板についての計測データ及び/又はCDSEMデータが取得され、かつ、光デジタルプロファイロメトリ(ODP)モデルが、ゲート積層体(図5Aの501a-501c及び図5Bの501c-501e)についての計測データを供するのに用いられてよい。それに加えて、計測データは、プロファイルデータ、周期データ、波長データ、回折信号データ、反射データ、CDデータ、及び、SWAデータを有してよい。
【0114】
420では、第1SQNB処理が実行されてよい。第1組のパターニングされた基板から選ばれてよい第1パターニングされた基板は、第1SQNB処理を用いることによって処理されてよい。たとえば第1SQNB処理は、マスク層を改質及び/又は評価するのに用いられてよい。第1パターニングされた基板は、SQNBプロセスチャンバ(図3の315)内において切り換え可能な基板ホルダ(図3の320)上に設けられてよい。切り換え可能な基板ホルダ(図3の320)は、少なくとも1つの分離素子(図3の335)を用いることによって、SQNBプロセスチャンバ(図3の315)内の底部チャンバ壁から電気的に分離されてよい。
【0115】
第1パターニングされた基板は上に複数の第1ゲート積層体(図5Aの501)を有してよい。第1ゲート積層体(図5Aの501)は複数のマスク部位(図5Aの550)−メタルゲート関連であってよい−及び複数の別な層(図5A-5Bの510、515、520、525、530、535、540、及び545)−1層以上のメタルゲート関連の層を有してよい−を有してよい。あるいはその代わりに、第1ゲート積層体(図5Aの501)は、異なる構成をとってよく、かつ、ポリゲート処理において用いられてよい。一部の例では、第1SQNBレジスト改質処理は、第1ゲート積層体(図5Aの501)内のマスク部位(図5Aの550)を縮小、補正、保護、及び/又は硬化させることで、第2ゲート積層体(図5Aの501a)内に示されているような縮小、補正、保護、及び/又は硬化されたマスク部位を生成するのに用いられてよい。あるいはその代わりに、第1ゲート積層体(図5Aの501)及び/又は第2ゲート積層体(図5Aの501a)は異なる構成をとってもよい。
【0116】
第1SQNBレジスト改質処理中、第1上部プラズマは、上部プラズマ領域内において第1上部プラズマ電位にて第1プラズマ生成ガスを用いることによって生成されてよい。様々な例では、第1プラズマ生成ガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第1ガス分配素子(図3の347)は、上部プラズマ領域(図3の312)の様々な領域へ様々な流速を与えてよい。
【0117】
一部の実施例では、上部多位置スイッチ(図3の342)は、第1SQNBレジスト改質処理の一の部分の間、上部DC伝導性電極(図3の311)をグランド電位に結合させるのに用いられてよく、かつ、上部多位置スイッチ(図3の342)は、第1SQNBレジスト改質処理の他の部分の間、上部DC伝導性電極(図3の311)を上部電源(図3の340)に結合させることで、第1上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第1SQNBレジスト改質処理の間、上部DC伝導性電極(図3の311)をグランド電位と結合させることで、第1上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第1SQNBレジスト改質処理の間、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第1上部プラズマ電位を制御するのに用いられてよい。たとえば、上部電源340はDC電力及び/又はAC電力を供してよく、かつ、上部電源340からの出力は、第1SQNBレジスト改質処理中に第1上部プラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0118】
第1SQNBレジスト改質プラズマはまた、第1上部プラズマからの電子束を用いることによって、切り換え可能なプラズマ領域内において、第1SQNBプラズマ電位で生成されてよい。上部プラズマ領域内における第1上部プラズマからの電子束は、プラズマ生成チャンバから、第1SQNBレジスト改質プラズマが生成されうるSQNBプロセスチャンバへ向かうように分離部材を通過する。図2A、図2B、及び図3に図示されているように、切り換え可能なプラズマ領域はSQNBプロセスチャンバ内に設けられ、かつ、プラズマ生成チャンバとSQNBプロセスチャンバとの間に設けられた分離部材内の1つ以上の開口部すなわち通過口は、上部プラズマ領域から切り換え可能なプラズマ領域への電子と1種類以上のプラズマ種の輸送すなわち供給を補助するのに用いられてよい。
【0119】
それに加えて、第1SQNBレジスト改質プラズマ電位は、電子束を制御するように第1上部プラズマ電位よりも高い電位にまで上昇されてよい。上部プラズマ領域内の第1上部プラズマは、境界駆動プラズマであってよい(つまりプラズマ境界は、対応するプラズマ電位に対する実質的な影響力を有する)。第1プラズマと接する境界の一部又は全部はDCグランドと結合してよい。それに加えて、切り換え可能なプラズマ領域内の第1SQNBレジスト改質プラズマは境界駆動プラズマであってよく、かつ、切り換え可能なプラズマと接する境界の一部又は全部は、+VDCでDC電源と結合する。SQNBプラズマ電位の第1上部プラズマ電位よりも高い値への上昇は、図2A、図2B、及び図3で与えられた実施例又はその組み合わせを用いて実行されてよい。
【0120】
代替実施例では、下部多位置スイッチ(図3の382)は、第1SQNBレジスト改質処理の一部の間、下部バイアス電極(図3の317)をグランド電位に結合するのに用いられ、かつ、下部多位置スイッチ(図3の382)は、第1SQNBレジスト改質処理の他の部分の間、下部バイアス電極(図3の317)をバイアス電源(図3の380)に結合するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第1SQNBレジスト改質処理の間、下部バイアス電極(図3の317)をグランド電位と結合させることで、第1SQNBプラズマ電位を制御するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第1SQNBレジスト改質処理の間、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第1SQNBプラズマ電位を制御するのに用いられてよい。たとえば、バイアス電源(図3の380)はDC電力及び/又はAC電力を供してよく、かつ、バイアス電源(図3の380)からの出力は、第1SQNBレジスト改質処理中に第1SQNBプロセスプラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0121】
さらにSQNBプロセスチャンバ内での圧力は、第1SQNBレジスト改質処理中に、そのSQNBプロセスチャンバを排気することにより、かつ、そのSQNBプロセスチャンバへ入り込むレジスト改質ガスの流速を制御することによって制御されてよい。様々な例では、第1SQNBレジスト改質ガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第2ガス分配素子(図3の357)は、切り換え可能なプラズマ領域(図3の352)の様々な領域へ様々な流速を与えてよい。パターニングされた基板は、第1SQNBレジスト改質処理中に、切り換え可能なプラズマ領域内で第1SQNBプラズマに曝露されてよい。第1SQNBプロセスプラズマへの基板の曝露は、単色の空間電荷により中性化されたビームにより活性化する化学種への基板の曝露を有してよい。
【0122】
さらなる実施例では、第1多位置スイッチ(図3の332)は、第1SQNBレジスト改質処理の一部の間に、切り換え可能な基板ホルダ(図3の320)をグランド電位へ結合するのに用いられ、第1多位置スイッチ(図3の332)は、第1SQNBレジスト改質処理の別な一部の間に、切り換え可能な基板ホルダ(図3の320)を分離し、かつ/あるいは、第1多位置スイッチ(図3の332)は、第1SQNBレジスト改質処理のさらに別の一部の間に、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させるのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第1SQNBレジスト改質処理の間、切り換え可能な基板ホルダ(図3の320)をグランド電位と結合させることで、第1SQNBプラズマ電位を制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、第1SQNBレジスト改質処理の別な一部の間に、切り換え可能な基板ホルダ(図3の320)を分離することで、第1SQNBプラズマ電位を制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第1SQNBレジスト改質処理の間、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させることで、第1SQNBプラズマ電位を制御するのに用いられてよい。
【0123】
425では、1つ以上の第2SQNB処理が実行されてよい。第2SQNB処理は、部位の形成及び/又は部位の改質シーケンスを有してよい。前記部位の形成及び/又は部位の改質シーケンスは、測定プロセス、評価プロセス、検証プロセス、エッチングプロセス、アッシングプロセス、現像プロセス、又は他のレジスト除去プロセスを有してよい。一部の実施例では、第2SQNB処理は、第2ゲート積層体(図5Aの501a)を処理して、第3(新たな)ゲート積層体(図5Aの501b)を生成するのに用いられてよい。上に改質されたマスク部位のパターンを有する第1基板は、第2SQNB処理を用いて処理されてよい。たとえば、部位の形成及び/又は部位の改質シーケンスを必要とする各基板は、SQNBプロセスチャンバ(図3の315)内の切り換え可能な基板ホルダ(図3の320)上に設けられてよく、かつ、切り換え可能な基板ホルダ(図3の320)は、少なくとも1つの分離素子(図3の335)を用いることによって、SQNBプロセスチャンバ(図3の315)内の底部壁から電気的に分離されてよい。
【0124】
第1パターニングされた基板は上に複数の第2ゲート積層体(図5Aの501a)を有してよい。第2ゲート積層体(図5Aの501a)は複数の改質されたマスク部位(図5Aの550a)−メタルゲート関連であってよい−及び複数の別な層(図5A-5Bの510、515、520、525、530、535、540、及び545)−1層以上のメタルゲート関連の層を有してよい−を有してよい。あるいはその代わりに、第2ゲート積層体(図5Aの501a)は、異なる構成をとってよく、かつ、ポリゲート処理において用いられてよい。それに加えて、第2SQNB処理は、第2ゲート積層体(図5Aの501a)内の改質されたマスク部位(図5Aの550)を用いることで、第3ゲート積層体(図5Aの501b)内に示されているような、複数の処理(エッチング)されたゲート幅制御部位(図5Aの540b)及び複数の処理(エッチング)された第3ハードマスク部位(図5Aの545b)を生成するのに用いられてよい。あるいはその代わりに、第2ゲート積層体(図5Aの501a)及び/又は第3ゲート積層体(図5Aの501b)は異なる構成をとってもよい。
【0125】
第2SQNB処理中に、第2上部プラズマが、上部プラズマ領域内において第2上部プラズマ電位で第2プラズマ生成ガスを用いることによって生成されてよい。様々な例では、第2プラズマ生成ガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第1ガス分配素子(図3の347)は、上部プラズマ領域(図3の312)の様々な領域へ様々な流速を与えてよい。
【0126】
一部の実施例では、上部多位置スイッチ(図3の342)は、第2SQNB処理の一部の間に、上部DC伝導性電極(図3の311)をグランド電位へ結合するのに用いられ、かつ、上部多位置スイッチ(図3の342)は、第2SQNBレジスト改質処理の別の一部の間に、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第2上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第2SQNB処理の間、上部DC伝導性電極(図3の311)をグランド電位と結合させることで、第2上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部1多位置スイッチ(図3の342)は、実質的にすべての第2SQNB処理の間、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第2上部プラズマ電位を制御するのに用いられてよい。たとえば、上部電源(図3の340)はDC電力及び/又はAC電力を供してよく、かつ、上部電源(図3の340)からの出力は、第2SQNB処理中に第2上部プラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0127】
第2SQNBプロセスプラズマはまた、第2上部プラズマからの電子束を用いることによって、切り換え可能なプラズマ領域内において、第2SQNBプロセスプラズマ電位で生成されてよい。上部プラズマ領域内における第2上部プラズマからの電子束は、プラズマ生成チャンバから、第2SQNBプロセスプラズマが生成されうるSQNBプロセスチャンバへ向かうように分離部材を通過する。図2A、図2B、及び図3に図示されているように、切り換え可能なプラズマ領域はSQNBプロセスチャンバ内に設けられ、かつ、プラズマ生成チャンバとSQNBプロセスチャンバとの間に設けられた分離部材内の1つ以上の開口部すなわち通過口は、上部プラズマ領域から切り換え可能なプラズマ領域への電子と1種類以上のプラズマ種の輸送すなわち供給を補助するのに用いられてよい。
【0128】
それに加えて、第2SQNBプロセスプラズマ電位は、電子束を制御するように第2上部プラズマ電位よりも高い電位にまで上昇されてよい。上部プラズマ領域内の第2上部プラズマは、境界駆動プラズマであってよい(つまりプラズマ境界は、対応するプラズマ電位に対する実質的な影響力を有する)。第2SQNBプロセスプラズマと接する境界の一部又は全部はDCグランドと結合してよい。それに加えて、切り換え可能なプラズマ領域内の第2SQNBプロセスプラズマは境界駆動プラズマであってよく、かつ、第2SQNBプロセスプラズマと接する境界の一部又は全部は、+VDCでDC電源と結合する。第2SQNBプロセスプラズマ電位の第2上部プラズマ電位よりも高い値への上昇は、図2A、図2B、及び図3で与えられた実施例又はその組み合わせを用いて実行されてよい。
【0129】
一部の代替実施例では、下部多位置スイッチ(図3の382)は、第2SQNB処理の一部の間に、下部DC伝導性電極(図3の317)をグランド電位へ結合するのに用いられ、かつ、下部多位置スイッチ(図3の382)は、第2SQNBレジスト改質処理の別の一部の間に、下部DC伝導性電極(図3の317)をバイアス電源(図3の380)と結合させることで、第2SQNBプロセスプラズマ電位を制御するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第2SQNB処理の間、下部バイアス電極(図3の317)をグランド電位と結合させることで、第2SQNBプロセスプラズマ電位を制御するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第2SQNBレジスト改質処理の間、切り換え可能な基板ホルダ(図3の320)を分離することで、第1SQNBプラズマ電位を制御するのに用いられてよい。他の実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第2SQNB処理の間、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第2SQNBプロセスプラズマ電位を制御するのに用いられてよい。たとえば、バイアス電源(図3の380)はDC電力及び/又はAC電力を供してよく、かつ、バイアス電源(図3の380)からの出力は、第2SQNB処理中に第2SQNBプロセスプラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0130】
さらにSQNBプロセスチャンバ内での圧力は、第2SQNB処理中に、そのSQNBプロセスチャンバを排気することにより、かつ、そのSQNBプロセスチャンバへ入り込むレジスト改質ガスの流速を制御することによって制御されてよい。第2SQNB処理は、1つ以上のエッチングプロセス、1つ以上のアッシングプロセス、1つ以上の現像プロセス、又は1つ以上の他のレジスト除去プロセスを有してよい。様々な例では、第2SQNBプロセスガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第2ガス分配素子(図3の357)は、切り換え可能なプラズマ領域(図3の352)の様々な領域へ様々な流速を与えてよい。パターニングされた基板は、切り換え可能なプラズマ領域内で第2SQNBプロセスプラズマに曝露されてよい。第2SQNBプロセスプラズマへの基板の曝露は、単色の空間電荷により中性化されたビームにより活性化する化学種への基板の曝露を有してよい。
【0131】
さらなる実施例では、第1多位置スイッチ(図3の332)は、第2SQNB処理の一部の間に、切り換え可能な基板ホルダ(図3の320)をグランド電位へ結合するのに用いられ、第1多位置スイッチ(図3の332)は、第2SQNB処理の別な一部の間に、切り換え可能な基板ホルダ(図3の320)を分離し、かつ/あるいは、第1多位置スイッチ(図3の332)は、第2SQNB処理のさらに別の一部の間に、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させるのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第2SQNB処理の間、切り換え可能な基板ホルダ(図3の320)をグランド電位と結合させることで、第2SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第2SQNB処理の間、切り換え可能な基板ホルダ(図3の320)を分離することで、第2SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第1SQNBレジスト改質処理の間、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させることで、第2SQNBプロセスプラズマを制御するのに用いられてよい。
【0132】
430では、第1プロセスシーケンスが完了したか否かを判断するための問い合わせが実行されてよい。第1プロセスシーケンスが完了したとき、処理400は工程450へ分岐してよい。第1プロセスシーケンスが完了しなかったとき、処理400は、図4に示されているように、工程435へ分岐して継続されてよい。
【0133】
435では、1つ以上の第3SQNB処理が実行されてよい。一部の実施例では、第3SQNB処理は、第4ゲート積層体(図5Bの501c)を改質することで、第5の(新たな)ゲート積層体(図5Bの501d)を生成するのに用いられてよい。プロセスシーケンスの間、第1の過去に処理された基板−第1組の過去に処理された基板から選ばれてよい−は、第3SQNB処理を用いることによってさらに処理されてよい。第1の過去に処理された基板は、複数の過去に処理されたゲート幅制御部位(図5Bの540c)−メタルゲート関連であってよい−及び、第4ゲート積層体(図5Bの501c)中に図示されている複数の過去に処理された第3ハードマスク部位(図5Bの545c)−メタルゲート関連であってよい−を有してよい。あるいはその代わりに、第4ゲート積層体(図5Bの501c)及び/又は第5(新たな)ゲート積層体(図5Bの501d)は、異なる構成をとってもよく、かつ、ポリゲート処理において用いられてもよい。
【0134】
第3SQNB処理の間、第1の過去に処理された基板は、SQNBプロセスチャンバ(図3の315)内の切り換え可能な基板ホルダ(図3の320)上に設けられ、かつ、切り換え可能な基板ホルダ(図3の320)は、少なくとも1つの分離素子(図3の335)を用いることによってSQNBプロセスチャンバ(図3の315)内の底部チャンバ壁から電気的に分離されてよい。それに加えて、第3SQNB処理は、第4ゲート積層体(図5Bの501c)中の複数の過去に処理されたゲート幅制御部位(図5Bの540c)及び複数の過去に処理された第3ハードマスク部位(図5Bの545c)を改質することで、第5ゲート積層体(図5Bの501d)内に図示されているように、複数の改質されたゲート幅制御部位(図5Bの540d)及び/又は複数の改質された第3のハードマスク部位(図5Bの545d)を生成するのに用いられてよい。あるいはその代わりに、第4ゲート積層体(図5Bの501c)及び/又は第5ゲート積層体(図5Bの501d)は異なる構成をとってもよい。
【0135】
第3SQNB処理中、第3上部プラズマが、上部プラズマ領域内において第3上部電位にて第3プラズマ生成ガスを用いることによって生成されてよい。様々な例では、第3プラズマ生成ガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第1ガス分配素子(図3の347)は、上部プラズマ領域(図3の312)の様々な領域へ様々な流速を与えてよい。
【0136】
一部の実施例では、上部多位置スイッチ(図3の342)は、第3SQNB処理の一部の間に、上部DC伝導性電極(図3の311)をグランド電位へ結合するのに用いられ、かつ、上部多位置スイッチ(図3の342)は、第3SQNB処理の別の一部の間に、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第3上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第3SQNB処理の間、上部DC伝導性電極(図3の311)をグランド電位と結合させることで、第3上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第3SQNB処理の間、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第3上部プラズマ電位を制御するのに用いられてよい。たとえば、上部電源(図3の340)はDC電力及び/又はAC電力を供してよく、かつ、上部電源(図3の340)からの出力は、第3SQNB処理中に第3上部プラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0137】
第3SQNBプロセスプラズマはまた、第3上部プラズマからの電子束を用いることによって、切り換え可能なプラズマ領域内において、第3SQNBプロセスプラズマ電位で生成されてよい。上部プラズマ領域内における第3上部プラズマからの電子束は、プラズマ生成チャンバから、第3SQNBプロセスプラズマが生成されうるSQNBプロセスチャンバへ向かうように分離部材を通過する。図2A、図2B、及び図3に図示されているように、切り換え可能なプラズマ領域はSQNBプロセスチャンバ内に設けられてよい。たとえば、プラズマ生成チャンバとSQNBプロセスチャンバとの間に設けられた分離部材内の1つ以上の開口部すなわち通過口は、上部プラズマ領域から切り換え可能なプラズマ領域への電子の輸送すなわち供給を補助するのに用いられてよい。
【0138】
それに加えて、第3SQNBプロセスプラズマ電位は、電子束を制御するように第3上部プラズマ電位よりも高い電位にまで上昇されてよい。上部プラズマ領域内の第3上部プラズマは、境界駆動プラズマであってよい(つまりプラズマ境界は、対応するプラズマ電位に対する実質的な影響力を有する)。第3SQNBプロセスプラズマと接する境界の一部又は全部はDCグランドと結合してよい。それに加えて、切り換え可能なプラズマ領域内の第3SQNBプロセスプラズマは境界駆動プラズマであってよく、かつ、第3SQNBプロセスプラズマと接する境界の一部又は全部は、+VDCでDC電源と結合する。第3SQNBプロセスプラズマ電位の第3上部プラズマ電位よりも高い値への上昇は、図2A、図2B、及び図3で与えられた実施例又はその組み合わせを用いて実行されてよい。
【0139】
一部の代替実施例では、下部多位置スイッチ(図3の382)は、第3SQNB処理の一部の間に、下部バイアス電極(図3の317)をグランド電位へ結合するのに用いられ、かつ、下部多位置スイッチ(図3の382)は、第3SQNB処理の別の一部の間に、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第3上部プラズマ電位を制御するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第3SQNB処理の間、下部バイアス電極(図3の317)をグランド電位と結合させることで、第3SQNBプロセスプラズマ電位を制御するのに用いられてよい。他の実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第3SQNB処理の間、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第3SQNBプロセスプラズマ電位を制御するのに用いられてよい。たとえば、バイアス電源(図3の380)はDC電力及び/又はAC電力を供してよく、かつ、バイアス電源(図3の380)からの出力は、第3SQNB処理中に第3SQNBプラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0140】
さらにSQNBプロセスチャンバ内での圧力は、第3SQNB処理中に、そのSQNBプロセスチャンバを排気することにより、かつ、そのSQNBプロセスチャンバへ入り込む第3SQNBプロセスガスの流速を制御することによって制御されてよい。様々な例では、第3SQNBプロセスガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第2ガス分配素子(図3の357)は、切り換え可能なプラズマ領域(図3の352)の様々な領域へ様々な流速を与えてよい。パターニングされた基板は、切り換え可能なプラズマ領域内で第3SQNBプロセスプラズマに曝露されてよい。第3SQNBプロセスプラズマへの基板の曝露は、単色の空間電荷により中性化されたビームにより活性化する化学種への基板の曝露を有してよい。
【0141】
別の実施例では、第1多位置スイッチ(図3の332)は、第3SQNB処理の一部の間に、切り換え可能な基板ホルダ(図3の320)をグランド電位へ結合するのに用いられ、第1多位置スイッチ(図3の332)は、第3SQNB処理の別な一部の間に、切り換え可能な基板ホルダ(図3の320)を分離し、かつ/あるいは、第1多位置スイッチ(図3の332)は、第3SQNB処理のさらに別の一部の間に、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させるのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第3SQNB処理の間、切り換え可能な基板ホルダ(図3の320)をグランド電位と結合させることで、第3SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第3SQNB処理の間、切り換え可能な基板ホルダ(図3の320)を分離することで、第3SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第3SQNB処理の間、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させることで、第3SQNBプロセスプラズマを制御するのに用いられてよい。
【0142】
440では、第1プロセスシーケンスが完了したか否かを判断するための問い合わせが実行されてよい。第1プロセスシーケンスが完了したとき、処理400は工程450へ分岐してよい。第1プロセスシーケンスが完了しなかったとき、処理400は、図4に示されているように、工程445へ分岐して継続されてよい。
【0143】
445では、1つ以上の第4SQNB処理が実行されてよい。一部の実施例では、第4SQNB処理は、第5ゲート積層体(図5Bの501d)を用いることで、第6の(新たな)ゲート積層体(図5Bの501e)を生成してよい。あるいはその代わりに、第5ゲート積層体(図5Bの501d)及び/又は第6(新たな)ゲート積層体(図5Bの501e)は、異なる構成をとってもよい。第4SQNB処理を必要とする各基板は、SQNBプロセスチャンバ(図3の315)内の切り換え可能な基板ホルダ(図3の320)上に設けられよい。切り換え可能な基板ホルダ(図3の320)は、少なくとも1つの分離素子(図3の335)を用いることによってSQNBプロセスチャンバ(図3の315)内の底部チャンバ壁から電気的に分離されてよい。
【0144】
第4SQNB処理を必要とする各基板は、上に複数の第5ゲート積層体(図5Bの501d)を有してよい。第5ゲート積層体(図5Bの501d)は、複数の過去に改質されたゲート幅制御部位(図5Bの540d)、複数の過去に改質された第3ハードマスク部位(図5Bの545d)−メタルゲート関連であってよい−及び、複数の別な層(図5Bの510、515、520、525、530、及び535)−1層以上のメタルゲート関連層を有してよい−を含んでよい。あるいはその代わりに、第5ゲート積層体(図5Bの501d)及び第6ゲート積層体(図5Bの501e)は、異なる構成をとってもよく、かつ、ポリゲート処理において用いられてもよい。それに加えて、第4SQNB処理は、過去に改質されたゲート幅制御部位(図5Bの540d)内のパターン及び/又は過去に改質された第3ハードマスク部位(図5Bの545d)を用いて、第6ゲート積層体(図5Bの501e)内において図示されているように実質的に同一のパターンの処理(エッチング)されたメタルゲート部位520eを生成してよい。あるいはその代わりに、第6ゲート積層体(図5Bの501e)は、第4SQNB処理の実行後に異なる構成をとってもよい。
【0145】
第4SQNB処理中、第4上部プラズマが、上部プラズマ領域内において第4上部電位にて第4プラズマ生成ガスを用いることによって生成されてよい。様々な例では、第4プラズマ生成ガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第1ガス分配素子(図3の347)は、上部プラズマ領域(図3の312)の様々な領域へ様々な流速を与えてよい。
【0146】
一部の実施例では、上部多位置スイッチ(図3の342)は、第4SQNB処理の一部の間に、上部DC伝導性電極(図3の311)をグランド電位へ結合するのに用いられ、かつ、上部多位置スイッチ(図3の342)は、第4SQNB処理の別の一部の間に、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第4上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第4SQNB処理の間、上部DC伝導性電極(図3の311)をグランド電位と結合させることで、第4上部プラズマ電位を制御するのに用いられてよい。他の実施例では、上部多位置スイッチ(図3の342)は、実質的にすべての第4SQNB処理の間、上部DC伝導性電極(図3の311)を上部電源(図3の340)と結合させることで、第4上部プラズマ電位を制御するのに用いられてよい。たとえば、上部電源(図3の340)はDC電力及び/又はAC電力を供してよく、かつ、上部電源(図3の340)からの出力は、第4SQNB処理中に第4上部プラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0147】
第4SQNBプロセスプラズマはまた、第4上部プラズマからの電子束を用いることによって、切り換え可能なプラズマ領域内において、第4SQNBプロセスプラズマ電位で生成されてよい。上部プラズマ領域内における第4上部プラズマからの電子束は、プラズマ生成チャンバから、第4SQNBプロセスプラズマが生成されうるSQNBプロセスチャンバへ向かうように分離部材を通過する。図2A、図2B、及び図3に図示されているように、切り換え可能なプラズマ領域はSQNBプロセスチャンバ内に設けられてよい。たとえば、プラズマ生成チャンバとSQNBプロセスチャンバとの間に設けられた分離部材内の1つ以上の開口部すなわち通過口は、上部プラズマ領域から切り換え可能なプラズマ領域への電子の輸送すなわち供給を補助するのに用いられてよい。
【0148】
それに加えて、第4SQNBプロセスプラズマ電位は、電子束を制御するように第4上部プラズマ電位よりも高い電位にまで上昇されてよい。上部プラズマ領域内の第4上部プラズマは、境界駆動プラズマであってよい(つまりプラズマ境界は、対応するプラズマ電位に対する実質的な影響力を有する)。第4SQNBプロセスプラズマと接する境界の一部又は全部はDCグランドと結合してよい。それに加えて、切り換え可能なプラズマ領域内の第4SQNBプロセスプラズマは境界駆動プラズマであってよく、かつ、第4SQNBプロセスプラズマと接する境界の一部又は全部は、+VDCでDC電源と結合する。第4SQNBプロセスプラズマ電位の第4上部プラズマ電位よりも高い値への上昇は、図2A、図2B、及び図3で与えられた実施例又はその組み合わせを用いて実行されてよい。
【0149】
一部の代替実施例では、下部多位置スイッチ(図3の382)は、第4SQNB処理の一部の間に、下部バイアス電極(図3の317)をグランド電位へ結合するのに用いられ、かつ、下部多位置スイッチ(図3の382)は、第4SQNB処理の別の一部の間に、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第4上部プラズマ電位を制御するのに用いられてよい。他の代替実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第4SQNB処理の間、下部バイアス電極(図3の317)をグランド電位と結合させることで、第4SQNBプロセスプラズマ電位を制御するのに用いられてよい。他の実施例では、下部多位置スイッチ(図3の382)は、実質的にすべての第4SQNB処理の間、下部バイアス電極(図3の317)をバイアス電源(図3の380)と結合させることで、第4SQNBプロセスプラズマ電位を制御するのに用いられてよい。たとえば、バイアス電源(図3の380)はDC電力及び/又はAC電力を供してよく、かつ、バイアス電源(図3の380)からの出力は、第4SQNB処理中に第4SQNBプラズマ電位を制御するように、一定であってもよいし、変化してもよいし、パルス状であってもよいし、ステップ状であってもよいし、及び/又は、ランプ波形であってもよい。
【0150】
さらにSQNBプロセスチャンバ内での圧力は、第4SQNB処理中に、そのSQNBプロセスチャンバを排気することにより、かつ、そのSQNBプロセスチャンバへ入り込む第3SQNBプロセスガスの流速を制御することによって制御されてよい。様々な例では、第4SQNBプロセスガスは、Ar,CF4,F2,C4F8,CO,C5F8,C4F6,CHF3,N2/H2、及び/又はHBrを有してよい。複数の第2ガス分配素子(図3の357)は、切り換え可能なプラズマ領域(図3の352)の様々な領域へ様々な流速を与えてよい。パターニングされた基板上の第5ゲート積層体(図5Bの501d)は、切り換え可能なプラズマ領域内で第4SQNBプロセスプラズマに曝露されてよい。それにより第6ゲート積層体(図5Bの501e)が生成される。第4SQNBプロセスプラズマへの基板の曝露は、単色の空間電荷により中性化されたビームにより活性化する化学種への基板の曝露を有してよい。
【0151】
別の実施例では、第1多位置スイッチ(図3の332)は、第4SQNB処理の一部の間に、切り換え可能な基板ホルダ(図3の320)をグランド電位へ結合するのに用いられ、第1多位置スイッチ(図3の332)は、第4SQNB処理の別な一部の間に、切り換え可能な基板ホルダ(図3の320)を分離し、かつ/あるいは、第1多位置スイッチ(図3の332)は、第4SQNB処理のさらに別の一部の間に、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させるのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第4SQNB処理の間、切り換え可能な基板ホルダ(図3の320)をグランド電位と結合させることで、第4SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第4SQNB処理の間、切り換え可能な基板ホルダ(図3の320)を分離することで、第4SQNBプロセスプラズマを制御するのに用いられてよい。他の実施例では、第1多位置スイッチ(図3の332)は、実質的にすべての第4SQNB処理の間、切り換え可能な基板ホルダ(図3の320)をバイアス電源(図3の380)と結合させることで、第4SQNBプロセスプラズマを制御するのに用いられてよい。
【0152】
445では、第1プロセスシーケンスからのデータが、リアルタイムデータ及び/又は履歴データとして記憶されてよい。
【0153】
450では処理400が終了してよい。
【0154】
先行基板(send-ahead substrate)が、SQNBマスク層改質処理を用いて処理されるとき、処理された先行基板は、複数の改質されたマスク部位と少なくとも1つの改質された周期的構造を有してよい。測定データが必要とされるとき、先行基板は評価サブシステム(図1の160)へ搬送され、かつ、処理された先行基板についての測定データが、ODP法及び少なくとも1つの改質された周期的構造を用いることによって取得されうる。それに加えて、SQNBマスク層改質処理についてのリスクデータは、測定データと、SQNBマスク層改質処理についての第1限界とを比較することによって決定されてよい。一部の例では、パターニングされた基板の組(ロット)についてのリスクデータは、SQNBマスク層改質処理についての第1リスクデータを用いて決定されてよい。それに加えて、SQNBマスク総会質処理についての信頼性データが決定されてよい。リスクデータが第1リスク限界未満であるとき、1つ以上の補正行為が実行されてよい。
【0155】
先行基板(send-ahead substrate)が、SQNB「部位形成」処理を用いて処理されるとき、処理された先行基板は、複数の改質されたマスク部位と少なくとも1つの処理された周期的構造を有してよい。測定データが必要とされるとき、先行基板は評価サブシステム(図1の160)へ搬送され、かつ、処理された先行基板についての測定データが、ODP法及び少なくとも1つの処理された周期的構造を用いることによって取得されうる。それに加えて、SQNB「部位形成」処理についてのリスクデータは、測定データと、SQNB「部位形成」処理についての第1限界とを比較することによって決定されてよい。一部の例では、パターニングされた基板の組(ロット)についてのリスクデータは、SQNB「部位形成」処理についての第1リスクデータを用いて決定されてよい。それに加えて、SQNB「部位形成」処理についての信頼性データが決定されてよい。リスクデータが第1リスク限界未満であるとき、1つ以上の補正行為が実行されてよい。
【0156】
一部の例では、補正行為は、その処理を停止する手順、その処理を中断する手順、1つ以上の基板を再評価する手順、1つ以上の基板を再検査する手順、1つ以上の基板を再加工する手順、1つ以上の基板を保存する手順、1つ以上の基板を洗浄する手順、1つ以上の基板を遅延させる手順、及び/又は1つ以上の基板を剥離する手順を有してよい。
【0157】
図5Aと図5Bは、本発明の実施例による少なくとも1つの擬中性ビーム(SQNB)を用いてメタルゲート構造を生成する第1プロセスシーケンスの典型的な図を表している。図5Aでは、第1プロセスシーケンス500Aを表すのに用いられ得る3つの典型的なゲート積層体(501、501a、及び501b)が図示されている。図5Bでは、第2プロセスシーケンス500Bを表すのに用いられ得る他の3つの典型的なゲート積層体(501c、501d、及び501e)が図示されている。あるいはその代わりに、異なる数のゲート積層体、異なる数の層、及び、異なる数の構成が用いられてもよい。
【0158】
図5Aを参照すると、第1ゲート積層体501は、現像処理又は評価処理から得られた結果の典型図であってよく、第2ゲート積層体501aは、第1マスク層改質処理から得られた結果の典型図であってよく、かつ、第3ゲート積層体501bは、第1部位形成処理及び/又は部位改質処理から得られた結果の典型図であってよい。あるいはその代わりに、異なる数のゲート積層体が用いられてもよい。
【0159】
第1ゲート積層体501は、基板層510、界面層515、メタルゲート層520、第1ハードマスク層525、シリコン含有層530、第2ハードマスク層535、ゲート制御層540、第3ハードマスク層545、及び複数のマスク部位550を有してよい。様々な実施例では、基板層510は半導体材料を有し、界面層515は断熱材料を有し、メタルゲート層520は金属材料を有し、第1ハードマスク層525はTiNを有し、シリコン含有層530はアモルファスシリコン(a-Si)を有し、第2ハードマスク層535はテトラエチルオルソシリケート(TEOS){Si(OC2H5)4}を有し、ゲート制御層540はゲート制御材料を有し、第3ハードマスク層545はシリコン含有反射防止コーティング(SiARC)材料を有し、かつ、マスク部位550はフォトレジスト材料551を有してよい。他の実施例では、基板層510は、ガラス材料、セラミック材料、プラスチック材料、誘電材料、及び/又は金属材料を有してよい。たとえば、半導体材料はシリコン及び/又はGaAsを有し、金属材料はアルミニウム(Al)、銅(Cu)、銀(Ag)、金(Au)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、及び/又は金属酸化物−たとえばHfO2−を有し、フォトレジスト材料は157nmフォトレジスト材料又は193nmフォトレジスト材料を有してよい。
【0160】
基板510は、約25nm〜約200nmの範囲で変化しうる高さ(厚さ)513を有してよい。界面層515は、約2nm〜約10nmの範囲で変化しうる高さ(厚さ)518を有してよい。メタルゲート層520は、約20nm〜約50nmの範囲で変化しうる高さ(厚さ)523を有してよい。第1ハードマスク層525は、約15nm〜約40nmの範囲で変化しうる高さ(厚さ)528を有してよい。シリコン含有層530は、約25nm〜約60nmの範囲で変化しうる高さ(厚さ)533を有してよい。第2ハードマスク層535は、約5nm〜約20nmの範囲で変化しうる高さ(厚さ)538を有してよい。ゲート制御層540は、約50nm〜約300nmの範囲で変化しうる高さ(厚さ)543を有してよい。第3ハードマスク層545は、約15nm〜約60nmの範囲で変化しうる高さ(厚さ)548を有してよい。マスク部位550は、約30nm〜約400nmの範囲で変化しうる高さ(厚さ)553を有してよい。それに加えて、約30nm〜約400nmの範囲で変化しうる部位幅552、及び、約30nm〜約400nmの範囲で変化しうる分離幅554を有してよい。
【0161】
第1プロセスシーケンス500Aと第2プロセスシーケンス500Bの間、1つ以上のSQNB処理が実行され、かつ、マスク部位550のパターンは、メタルゲート層520が処理されるときに複数のメタルゲート部位520eを生成するのに用いられてよい。たとえば、マスク層改質プロセス時間、マスク層改質プロセス終点時間、及び、フォトレジストプロファイルパラメータは、SQNBマスク層改質処理中、制御変数として用いられてよく、かつ、エッチング時間、エッチング終点、及び、改質されたフォトレジストプロファイルパラメータは、SQNBプロセス処理中、制御変数として用いられてよい。それに加えて、処理されたメタルゲート部位520eのCD(522e、523e、及び524e)及び/又はSWAデータは、第1プロセスシーケンス500A及び/又は第2プロセスシーケンス500Bにおける1つ以上のプロセス処理中、制御変数として用いられてよい。1つ以上のサブシステム(図1の110、120、130、140、150、160、及び170)は、処理されたメタルゲート部位520eのCD(522e、523e、及び524e)及び/又はSWAデータを決定するのに用いられ得る別の制御変数を供してよい。
【0162】
さらに図5Aを参照すると、第2ゲート積層体501aが図示されている。第2ゲート積層体501aは、基板層510、界面層515、メタルゲート層520、第1ハードマスク層525、シリコン含有層530、第2ハードマスク層535、ゲート制御層540、第3ハードマスク層545、及び、改質されたマスク部位のパターン550aを有する。
【0163】
様々な実施例では、基板層510は半導体材料を有し、界面層515は断熱材料を有し、メタルゲート層520は金属材料を有し、第1ハードマスク層525はTiNを有し、シリコン含有層530はアモルファスシリコン(a-Si)を有し、第2ハードマスク層535はTEOSを有し、ゲート制御層540はゲート制御材料を有し、第3ハードマスク層545はシリコン含有反射防止コーティング(SiARC)材料を有し、かつ、硬化したソフトマスク部位550aはフォトレジスト材料551と硬化したフォトレジスト材料551aを有してよい。
【0164】
第3ハードマスク層545aは、約15nm〜約60nmの範囲で変化しうる高さ(厚さ)548を有してよい。改質されたマスク部位550aは、約30nm〜約300nmの範囲で変化しうる高さ(厚さ)553aを有してよい。改質されたマスク部位550aは、約30nm〜約400nmの範囲で変化しうる部位幅552a、及び、約30nm〜約400nmの範囲で変化しうる分離幅554aを有してよい。それに加えて、硬化した193nmフォトレジスト材料551aの厚さは約1nm〜10nmの範囲で変化してよい。
【0165】
さらに図5Aを参照すると、第2SQNBプロセス処理を用いることによって生成可能な第3ゲート積層体501bが図示されている。あるいはその代わりに、SQNB源を必要としない異なるプロセス処理が実行されてもよい。第3ゲート積層体501bは、基板層510、界面層515、メタルゲート層520、第1ハードマスク層525、シリコン含有層530、第2ハードマスク層535、複数の処理されたゲート幅制御部位540b、及び、複数の処理された第3ハードマスク部位545bを有してよい。第2SQNB処理中、複数の改質されたマスク部位550aは、複数の新たな(処理された)ゲート幅制御部位540b、及び、複数の新たな(処理された)第3ハードマスク部位545bを生成するのに用いられてよい。あるいはその代わりに、複数の改質されたマスク部位550aは、複数の新たな(処理された)ゲート幅制御部位540bは異なる構成をとってよく、かつ、第3ハードマスク部位545bは存在しなくてもよい。
【0166】
様々な実施例では、基板層510は半導体材料を有し、界面層515は断熱材料を有し、メタルゲート層520は金属材料を有し、第1ハードマスク層525はTiNを有し、シリコン含有層530はアモルファスシリコン(a-Si)を有し、第2ハードマスク層535はTEOSを有し、処理されたゲート幅制御部位540bは処理されたゲート幅制御材料541bを有し、処理された第3ハードマスク部位545bは処理されたSiARC材料546bを有してよい。
【0167】
処理された第3ハードマスク部位545bは、存在するときには、約0nm〜約60nmの範囲で変化しうる高さ(厚さ)548bを有してよい。処理された第3マスク部位545bは、約30nm〜約300nmの範囲で変化しうる部位幅547b、及び、約30nm〜約300nmの範囲で変化しうる分離幅549bを有してよい。
【0168】
処理されたゲート幅制御部位540bは、約30nm〜約300nmの範囲で変化しうる高さ(厚さ)543bを有してよい。処理されたゲート幅制御部位540bは、約30nm〜約300nmの範囲で変化しうる部位幅542b、及び、約30nm〜約300nmの範囲で変化しうる分離幅544bを有してよい。
【0169】
図5Bは、本発明の実施例による別のプロセスシーケンスを表している。図示された実施例では、第2SQNBプロセスシーケンス500Bが表され、かつ、第2SQNBプロセスシーケンス500Bは第3SQNB処理と第4SQNB処理を有してよい。たとえば、第3SQNB処理は第2マスク層改質処理を有し、かつ、第4SQNB処理は第2部位形成処理を有してよい。図5Bを参照すると、第4ゲート積層体501cは、第1プロセスシーケンス又は評価処理から得られた結果の典型図であってよく、第5ゲート積層体501dは、第3SQNB処理(別のマスク層改質処理)から得られた結果の典型図であってよく、かつ、第6ゲート積層体501eは、第3SQNB処理(別の部位形成処理)から得られた結果の典型図であってよい。あるいはその代わりに、異なる数のゲート積層体が示されてもよい。
【0170】
図5Bでは、第1SQNBプロセスシーケンスを用いて生成可能な第4ゲート積層体501cが図示されている。あるいはその代わりに、SQNB源を必要としない異なるプロセスシーケンスが実行されてもよい。第4ゲート積層体501cは、基板層510、界面層515、メタルゲート層520、第1ハードマスク層525、シリコン含有層530、第2ハードマスク層535、複数の過去に処理されたゲート幅制御部位540c、及び複数の過去に処理された第3ハードマスク部位545cを有してよい。第2プロセスシーケンス500Bの間、複数の過去に処理されたゲート幅制御部位540c及び/又は複数の過去に処理された第3ハードマスク部位545cは、複数のエッチングされたメタルゲート部位520eを生成するのに用いられてよい。あるいはその代わりに、複数の過去に処理されたゲート幅制御部位540cは異なる構成をとってよく、かつ、複数の過去にエッチングされた第3ハードマスク部位545cは存在しなくてもよい。
【0171】
様々な実施例では、基板層510は半導体材料を有し、界面層515は断熱材料を有し、メタルゲート層520は金属材料を有し、第1ハードマスク層525はTiNを有し、シリコン含有層530はアモルファスシリコン(a-Si)を有し、第2ハードマスク層535はTEOSを有し、過去に処理されたゲート幅制御部位540cは過去に処理されたゲート幅制御材料541cを有し、かつ、過去に処理された第3ハードマスク部位545cは過去に処理されたSiARC材料を有してよい。他の実施例では、基板層510は、ガラス材料、セラミック材料、プラスチック材料、誘電材料、及び/又は金属材料を有してよい。たとえば、半導体材料はシリコン及び/又はGaAsを有し、金属材料はアルミニウム(Al)、銅(Cu)、銀(Ag)、金(Au)、ルテニウム(Ru)、ニッケル(Ni)、コバルト(Co)、及び/又は金属酸化物−たとえばHfO2−を有し、フォトレジスト材料は157nmフォトレジスト材料又は193nmフォトレジスト材料を有してよい。
【0172】
過去に処理されたゲート幅制御部位540cは、約30nm〜約300nmの範囲で変化しうる高さ(厚さ)543cを有してよい。過去に処理された第3ハードマスク部位545cは、約0nm〜約60nmの範囲で変化しうる高さ(厚さ)548cを有してよい。過去に処理された第3ハードマスク部位545cは、約30nm〜約300nmの範囲で変化しうる部位幅547c、及び、約30nm〜約300nmの範囲で変化しうる分離幅549cを有してよい。それに加えて、過去に処理されたゲート幅制御部位540cは、約30nm〜約300nmの範囲で変化しうる部位幅542c、及び、約30nm〜約300nmの範囲で変化しうる分離幅544cを有してよい。過去に処理されたゲート幅制御部位540cと過去に処理された第3ハードマスク部位545cが存在するとき、評価サブシステム(図1の160)は、過去に処理されたゲート幅制御部位540cのCD(542c、543c、及び544c)及びSWAデータ、並びに、過去に処理された第3ハードマスク部位545cのCD(547c、548c、及び549c)及びSWAデータを決定するのに用いられてよい。
【0173】
第2プロセスシーケンス500Bの間、1つ以上のSQNB処理が実行され、かつ、過去に処理された第3ハードマスク部位545c及び/又は過去に処理されたゲート幅制御部位540cは、メタルゲート層520がエッチングされるときに複数のエッチングされたメタルゲート部位520eを生成するのに用いられてよい。それに加えて、エッチングされたメタルゲート部位520eのCD(522e、523e、及び524e)は、第1プロセスシーケンス500A及び/又は第2プロセスシーケンス500Bにおける1つ以上のエッチング処理中に設定され、かつ、約20nm〜約300nmの範囲であってよい。サブシステム(図1の160)は、エッチングされたメタルゲート部位520eのCD(522e、523e、及び524e)及びSWAデータを決定するのに用いられてよい。あるいはその代わりにCD(522e、523e、及び524e)は、エッチングされたポリゲート部位520eに関連付けられ、かつ、約20nm〜約100nmの範囲であってよい。
【0174】
さらに図5Bを参照すると、第5ゲート積層体501dが図示されている。第5ゲート積層体501dは、基板層510、界面層515、メタルゲート層520、第1ハードマスク層525、シリコン含有層530、第2ハードマスク層535、複数の改質されたゲート幅制御部位540d、及び、複数の改質された第3ハードマスク部位545dを有する。あるいはその代わりに、複数の改質された第3ハードマスク部位545dは存在しなくてもよい。
【0175】
様々な実施例では、基板層510は半導体材料を有し、界面層515は断熱材料を有し、メタルゲート層520は金属材料を有し、第1ハードマスク層525はTiNを有し、複数のゲート幅が制御された部位540dはゲート幅制御材料541cと改質されたゲート幅制御材料541dを有し、複数の改質(硬化)された第3ハードマスク部位545dは改質(硬化)されたSiARC材料546dとSiARCにより改質された材料546cを有してよい。
【0176】
複数の改質された第3ハードマスク部位545dは、約0nm〜約60nmの範囲で変化しうる高さ(厚さ)548d、約30nm〜約300nmの範囲で変化しうる部位幅547d、及び、約30nm〜約300nmの範囲で変化しうる分離幅549dを有してよい。それに加えて、改質された第3ハードマスク材料の厚さ546dは約1nm〜約10nmの範囲で変化してよい。改質されたゲート幅制御部位540dは、約30nm〜約300nmの範囲で変化しうる高さ(厚さ)543d、約30nm〜約300nmの範囲で変化しうる部位幅542d、及び、約30nm〜約300nmの範囲で変化しうる分離幅544dを有してよい。それに加えて、改質されたゲート幅制御材料541dの厚さは約1nm〜約10nmの範囲で変化してよい。
【0177】
さらに図5Bを参照すると、第4SQNBプロセス処理を用いることによって生成可能な第6ゲート積層体501eが図示されている。あるいはその代わりに、SQNB源を必要としない異なるプロセス処理が実行されてもよい。第6ゲート積層体501eは、基板層510、処理された界面層515e、及び、複数の処理されたメタルゲート層520eを有してよい。第4SQNB処理中、改質された第3ハードマスク部位545d及び/又は改質されたゲート幅制御部位540dは、複数の処理(エッチング)されたメタルゲート部位520eを生成するのに用いられてよい。あるいはその代わりに、複数の改質されたゲート幅制御部位540dは異なる構成をとってよく、かつ、改質された第3ハードマスク部位545dは存在しなくてもよい。
【0178】
一部の例では、基板層510は半導体材料を有し、処理された界面層515eは処理された断熱材料を有し、エッチングされたメタルゲート層520eはエッチングされた金属酸化物材料−たとえばHfO2材料−を有してよい。エッチングされたメタルゲート部位520eは、約10nm〜約60nmの範囲で変化しうる高さ(厚さ)523e、及び、約30nm〜約400nmの範囲で変化しうる分離幅524dを有してよい。
【0179】
代替SQNBプロセスシーケンスの間、ゲート積層体501とゲート積層体501eのみが生成され、かつ、メタルゲート層520eに係るCD(522e、523e、及び524e)及びSWAデータは、ゲート関連マスク部位550に係るCD(552、553、及び554)及びSWAデータを用いて決定されてよい。たとえば1つ以上のSQNBエッチング処理が実行され、かつ、ゲート積層体501とゲート積層体501eは異なる構成をとってもよい。それに加えて、1層以上の層(515、520、525、530、535、540、及び545)は必要ないし、又は異なる位置に設けられてもよい。
【0180】
他の代替SQNBプロセスシーケンスの間、ゲート積層体501、ゲート積層体501a、及びゲート積層体501eのみが生成され、かつ、メタルゲート層520eに係るCD(522e、523e、及び524e)及びSWAデータは、ゲート関連マスク部位550に係るCD(552、553、及び554)及び/若しくはSWAデータ、並びに/又は、改質されたマスク部位550aに係るCD(552a、553a、及び554a)及び/若しくはSWAデータを用いて決定されてよい。たとえば、1つ以上のフォトレジスト改質処理が実行された後に、1つ以上のSQNBエッチング処理が実行され、かつ、ゲート積層体501とゲート積層体501eは異なる構成をとってもよい。それに加えて、1層以上の層(515、520、525、530、535、540、及び545)は必要ないし、又は異なる位置に設けられてもよい。それに加えて、改質されたマスク部位550aは、改質されたマスク部位、硬化されたマスク部位、縮小されたマスク部位、及び/又は保護されたマスク部位を有してよい。
【0181】
他の代替SQNBプロセスシーケンスの間、ゲート積層体501c、ゲート積層体501d、及びゲート積層体501eのみが生成され、かつ、メタルゲート層520eに係るCD(522e、523e、及び524e)及びSWAデータは、過去に処理された第3ハードマスク部位545cに係るCD(547c、548c、549c)及び/若しくはSWAデータ、並びに/又は、改質された第3ハードマスク部位545dに係るCD(547d、548d、549d)及び/若しくはSWAデータを用いて決定されてよい。たとえば、1つ以上のフォトレジスト改質処理が実行された後に、1つ以上のSQNBエッチング処理が実行され、かつ、ゲート積層体501c、ゲート積層体501d、及びゲート積層体501eは異なる構成をとってもよい。それに加えて、1層以上の層(515、520、525、530、535、540、及び545)は必要ないし、又は異なる位置に設けられてもよい。それに加えて、改質されたマスク部位550aは、改質されたマスク部位、硬化されたマスク部位、縮小されたマスク部位、及び/又は保護されたマスク部位を有してよい。
【0182】
さらに他の代替SQNBプロセスシーケンスの間、ゲート積層体501c、ゲート積層体501d、及びゲート積層体501eのみが生成され、かつ、メタルゲート層520eに係るCD(522e、523e、及び524e)及びSWAデータは、過去に処理されたゲート幅制御部位540cに係るCD(542c、543c、544c)及び/若しくはSWAデータ、並びに/又は、改質されたゲート幅制御部位540dに係るCD(542d、543d、544d)及び/若しくはSWAデータを用いて決定されてよい。たとえば、1つ以上のフォトレジスト改質処理が実行された後に、1つ以上のSQNBエッチング処理が実行され、かつ、ゲート積層体501c、ゲート積層体501d、及びゲート積層体501eは異なる構成をとってもよい。それに加えて、1層以上の層(515、520、525、530、535、540、及び545)は必要ないし、又は異なる位置に設けられてもよい。それに加えて、改質されたマスク部位550aは、改質されたマスク部位、硬化されたマスク部位、縮小されたマスク部位、及び/又は保護されたマスク部位を有してよい。
【0183】
様々なSQNBマスク層改質処理中、プラズマ生成チャンバ(図3の310)内での圧力は約50mT〜約100mTの範囲で、かつ、SQNBプロセスチャンバ(図3の315)内での圧力は約50mT〜約100mTの範囲であってよい。様々なSQNB部位形成処理中、プラズマ生成チャンバ(図3の310)内での圧力は約50mT〜約100mTの範囲で、かつ、SQNBプロセスチャンバ(図3の315)内での圧力は約50mT〜約100mTの範囲であってよい。
【0184】
様々なSQNBマスク層改質処理中、第1RF出力が、プラズマ生成チャンバ(図3の360)によって多巻誘導コイル362へ供され、かつ、第1RF出力は約10[W]〜約1500[W]の範囲であってよい。
【0185】
様々なSQNBマスク層改質処理中、バイアス電源(図3の380)によって供される電圧は約0[V]〜約1500[V]の範囲で変化してよい。様々なSQNB部位形成処理中、バイアス電源(図3の380)によって供される電圧は約0[V]〜約1500[V]の範囲で変化してよい。
【0186】
様々なSQNBマスク層改質処理中及び/又は様々なSQNB部位形成処理中、上部ガス供給システム(図3の345)はテトラフルオロメタン(CF4)を供し、かつ、CF4流速は約60sccm〜約100sccmの間で変化してよい。他のSQNBマスク層改質処理及び/又は他のSQNB部位形成処理中、上部ガス供給システム(図3の345)はトリフルオロメタン(CHF3)を供し、かつ、CHF3流速は約40sccm〜約60sccmの間で変化してよい。
【0187】
一部のSQNBマスク層改質処理中及び/又はSQNB部位形成処理中、プラズマ生成チャンバ(図3の310)内での温度は約70℃〜約90℃の範囲で、プラズマ生成チャンバ(図3の310)内のチャンバ壁の温度は約50℃〜約70℃の範囲で、SQNBプロセスチャンバ(図3の315)内のチャンバ壁の温度は約10℃〜約30℃の範囲で、切り換え可能な基板ホルダ(図3の320)の中央での温度は約12℃〜約20℃の範囲で、切り換え可能な基板ホルダ(図3の320)の端部での温度は約8℃〜約12℃の範囲で、切り換え可能な基板ホルダ(図3の320)の中央背圧は約5[Torr]〜約15[Torr]の範囲で、切り換え可能な基板ホルダ(図3の320)の端部背圧は約27[Torr]〜約33[Torr]の範囲で、かつ、プロセス時間は約20秒〜約150秒の範囲で変化してよい。あるいはその代わりに他のガスが必要とされてもよい。
【0188】
代替実施例では、第1プロセスシーケンス500Aの間、第1SQNBマスク層改質処理の実行後に、第1SQNB部位形成シーケンスが実行されてよい。たとえば第1部位形成シーケンスは第1SiARCエッチング処理と第1ゲート制御層エッチング処理を有し、かつ、SiARCエッチング時間、SiARC終点時間、ゲート制御層エッチング時間、ゲート制御層終点時間、及び、エッチングされたフォトレジストプロファイルパラメータが、第1エッチングシーケンスの間、制御変数として用いられてよい。それに加えて、SiARCエッチング処理はSiARC層545aをエッチングするのに用いられ、かつ、第1ゲート制御層エッチング処理は、ゲート制御層540をエッチングするのに用いられてよい。
【0189】
第1SiARC層エッチング処理中、チャンバ圧力は約12mT〜約18mTの範囲で、上部出力は約450[W]〜約550[W]の範囲で、下部出力は約90[W]〜約110[W]の範囲で、ESC電圧は約2500[V]に設定され、テトラフルオロメタン(CF4)の流速は約60sccm〜約100sccmの範囲で変化し、トリフルオロメタン(CHF3)の流速は約40sccm〜約60sccmの範囲で変化し、上部チャンバ温度は約70℃〜約90℃の範囲で変化し、チャンバ壁の温度は約50℃〜約70℃の範囲で変化し、底部チャンバ温度は約10℃〜約30℃の範囲で変化し、基板ホルダの中央での温度は約12℃〜約20℃の範囲で、基板ホルダの端部での温度は約8℃〜約12℃の範囲で、基板ホルダの中央背圧は約15[Torr]〜約25[Torr]の範囲で、基板ホルダの端部背圧は約27[Torr]〜約33[Torr]の範囲で、かつ、プロセス時間は約60秒〜約90秒の範囲で変化してよい。
【0190】
第1ゲート制御層エッチング処理中、チャンバ圧力は約15mT〜約25mTの範囲で、上部出力は約20[W]〜約250[W]の範囲で変化し、下部出力は約90[W]〜約110[W]の範囲で、ESC電圧は約2500[V]に設定され、Heの流速は約150sccm〜約250sccmの範囲で変化し、HBrの流速は約25sccm〜約35sccmの範囲で変化し、O2の流速は約30sccm〜約50sccmの範囲で変化し、CO2の流速は約260sccm〜約320sccmの範囲で変化し、上部チャンバ温度は約70℃〜約90℃の範囲で変化し、チャンバ壁の温度は約50℃〜約70℃の範囲で変化し、底部チャンバ温度は約10℃〜約30℃の範囲で変化し、ウエハホルダの中央での温度は約12℃〜約20℃の範囲で、ウエハホルダの端部での温度は約8℃〜約12℃の範囲で、ウエハホルダの中央背圧は約15[Torr]〜約25[Torr]の範囲で、ウエハホルダの端部背圧は約27[Torr]〜約33[Torr]の範囲で、かつ、プロセス時間は約90秒〜約130秒の範囲で変化してよい。
【0191】
第1SiN(TEOS)層エッチング処理中、チャンバ圧力は約35mT〜約45mTの範囲で、上部出力は約550[W]〜約650[W]の範囲で変化し、下部出力は約90[W]〜約110[W]の範囲で、ESC電圧は約2500[V]に設定され、O2の流速は約3sccm〜約7sccmの範囲で変化し、CF4の流速は約40sccm〜約60sccmの範囲で変化し、CHF3の流速は約40sccm〜約60sccmの範囲で変化し、上部チャンバ温度は約30℃〜約90℃の範囲で変化し、チャンバ壁の温度は約50℃〜約70℃の範囲で変化し、底部チャンバ温度は約30℃〜約50℃の範囲で変化し、ウエハホルダの中央での温度は約25℃〜約35℃の範囲で、ウエハホルダの端部での温度は約8℃〜約12℃の範囲で、ウエハホルダの中央背圧は約15[Torr]〜約25[Torr]の範囲で、ウエハホルダの端部背圧は約27[Torr]〜約33[Torr]の範囲で、かつ、プロセス時間は約50秒〜約90秒の範囲で変化してよい。
【0192】
第1SiNオーバーエッチング(OE)処理中、チャンバ圧力は約35mT〜約45mTの範囲で、上部出力は約550[W]〜約650[W]の範囲で変化し、下部出力は約1250[W]〜約1750[W]の範囲で、ESC電圧は約2500[V]に設定され、O2の流速は約3sccm〜約7sccmの範囲で変化し、CF4の流速は約40sccm〜約60sccmの範囲で変化し、CHF3の流速は約40sccm〜約60sccmの範囲で変化し、上部チャンバ温度は約70℃〜約90℃の範囲で変化し、チャンバ壁の温度は約50℃〜約70℃の範囲で変化し、底部チャンバ温度は約10℃〜約30℃の範囲で変化し、基板ホルダの中央での温度は約12℃〜約20℃の範囲で、基板ホルダの端部での温度は約8℃〜約12℃の範囲で、基板ホルダの中央背圧は約15[Torr]〜約25[Torr]の範囲で、基板ホルダの端部背圧は約27[Torr]〜約33[Torr]の範囲で、かつ、プロセス時間は約60秒〜約90秒の範囲で変化してよい。
【0193】
一部の例では、SQNB処理についての個々の信頼値及び/又は全体の信頼値は、個々の信頼性限界及び/又は全体の信頼性限界と比較されてよい。1つ以上の信頼性限界が満たされる場合には、1組の基板の処理は継続されてよい。1つ以上の信頼性限界が満たされない場合には、補正行為が適用されてよい。補正行為は、1組の基板のうちの1つ以上の別な基板についての信頼値を設定する工程、前記1つ以上の別な基板についての信頼値を別の信頼性限界と比較する工程、及び、前記別の信頼性限界が満たされる場合にはSQNB処理を継続し、前記別の信頼性限界が満たされない場合にはSQNB処理を中止する工程を有してよい。
【0194】
他の例では、SQNB処理についての個々のリスク値及び/又は全体のリスク値は、個々のリスク限界及び/又は全体のリスク限界と比較されてよい。1つ以上のリスク限界が満たされる場合には、1組の基板の処理は継続されてよい。1つ以上のリスク限界が満たされない場合には、補正行為が適用されてよい。補正行為は、1組の基板のうちの1つ以上の別な基板についてのリスク値を設定する工程、前記1つ以上の別な基板についてのリスク値を別のリスク限界と比較する工程、及び、前記別のリスク限界が満たされる場合にはSQNB処理を継続し、前記別のリスク限界が満たされない場合にはSQNB処理を中止する工程を有してよい。
【0195】
他の実施例では、1つ以上の基板が検証されたSQNB処理を用いることによって処理されてよい。検証されたSQNB処理が用いられるとき、1つ以上の検証された基板が基板(「金のウエハ」)上に生成されてよい。基板が検査されるとき、試験用参照基板が、基板上の多数の検証された基板から選ばれてよい。検査中、検査データが、試験用参照基板から取得されてよい。最善の推定構造及び関連する最善の推定データは、検証された基板と関連するデータを含むライブラリから選ばれてよい。試験用参照基板と、ライブラリからの最善推定構造との間での1つ以上の差異が計算されてよい。その差異は、一致基準、生成基準、及び/又は製造基準と比較されてよい。一致基準が用いられる場合において、その一致基準が満たされる又は超えられるとき、試験用参照構造はライブラリのメンバとして特定されてよく、かつ、現在の基板は参照用の「金の」基板として特定されてよい。生成基準が用いられる場合において、その生成基準が満たされるとき、試験用参照構造はライブラリのメンバとして特定されてよく、かつ、現在の基板は検証された参照基板として特定されてよい。製造要件が用いられる場合において、その製造要件が満たされるとき、試験用参照構造は検証された構造として特定されてよく、かつ、基板は検証された製造基板として特定されてよい。1つ以上の基準又は製造要件が満たされない場合には補正行為が適用されてよい。SQNB処理についての信頼性データ及び/又はリスクデータが、試験用参照構造データ及び最善の推定構造データを用いて設定されてよい。
【0196】
SQNB処理中、構造及び/又は部位が製造及び/又は検査されるとき、精度限界及び/又は許容限界が用いられてよい。これらの限界が正しくないとき、精緻化処理が実行されてよい。あるいはその代わりに、他の処理が実行されてよいし、他のサイトが用いられてもよいし、又は他の基板が用いられてもよい。精緻化方法が用いられるとき、その精緻化方法は、双1次精緻化(bilinear refinement)、ラグランジュ精緻化、キュービックスプライン(Cubic Spline)精緻化、エイトケン(Aitken)精緻化、重み付けされた平均(weighted average)精緻化、多重2次(multi-quadratic)精緻化、双3次(bicubic)、タラン(Turran)精緻化、ウエーブレット精緻化、ベッセルの精緻化、エバレット精緻化、有限差分精緻化、ガウス精緻化、エルミート精緻化、ニュートン差分(Newton’s divided difference)精緻化、接触(osculating)精緻化若しくはシールの(Thiele’s)精緻化アルゴリズム、又はこれらの結合を利用して良い。
【0197】
一部の実施例では、SQNB処理に係るライブラリデータは、適合度(GOF)データ、精製規則データ、測定データ、検査データ、検証データ、マップデータ、信頼性データ、精度データ、プロセスデータ、及び/又は均一性データを有してよい。
【0198】
一部の実施例では、履歴データ及び/又はリアルタイムデータは、1つ以上の基板についての、基板関連データ、プロセス関連データ、損傷評価データ、参照マップ、測定マップ、予測マップ、リスクマップ、検査マップ、検証マップ、評価マップ、粒子マップ、及び/又は信頼性マップを有してよい。それに加えて、SQNB処理は基板マップを用いてよい。前記基板マップは、1つ以上の適合度(GOF)マップ、1つ以上の厚さマップ、1つ以上のゲート関連マップ、1つ以上の限界寸法(CD)マップ、1つ以上のCDプロファイルマップ、1つ以上の材料関連マップ、1つ以上の基板関連マップ、1つ以上の側壁角マップ、及び/又は1つ以上の差分幅マップを有してよい。
【0199】
基板マップが生成及び/又は修正されるとき、基板全体についての値は計算されなくてよく、かつ、基板マップは、1つ以上のサイト、1つ以上のチップ/ダイ、1つ以上の異なる領域、及び/又は1つ以上の異なる形状の領域についてのデータを有してよい。たとえばSQNBシステム又はチャンバは、基板のある領域におけるプロセス結果の品質に影響を及ぼしうる固有の特性を有してよい。それに加えて製造者は、歩留まりを最大にする、基板の1つ以上の領域におけるチップ/ダイについての正確さを欠くプロセスデータ及び/又は評価データを許容しうる。マップ内での値が限界に近づくとき、信頼性の値は、そのマップ内での値が限界に近くないときの値よりも低くなりうる。それに加えて、各異なるチップ/ダイ及び/又は基板の各異なる領域についての精度値が重み付けされてよい。たとえば、大きな信頼性の重みは、1つ以上の過去に用いられた評価サイトに係る精度計算及び/又は精度データに割り当てられてよい。
【0200】
それに加えて、1つ以上のプロセスに係るプロセス結果、測定、検査、検証、評価、及び/又は予測マップが、基板についての信頼性マップの計算に用いられてよい。たとえば他のマップからの値が重み付け因子として用いられてもよい。

【特許請求の範囲】
【請求項1】
第1切り換え可能な擬中性ビーム(SQNB)処理中に前記プラズマ生成チャンバ内の上部プラズマ生成領域において第1上部プラズマ電位で第1上部プラズマを生成し、かつ、第2SQNB処理中に第2上部プラズマ電位で第2上部プラズマを生成する上部プラズマ領域を有するプラズマ生成チャンバ;
前記第1SQNB処理中に第1SQNBプロセスプラズマ電位で第1SQNBプロセスプラズマを生成し、かつ、前記第2SQNB処理中に第2SQNBプロセスプラズマ電位で第2SQNBプロセスプラズマを生成する切り換え可能なプラズマ領域を有する切り換え可能なSQNBプロセスチャンバ;
前記プラズマ生成チャンバと前記SQNBプロセスチャンバとの間に設けられていて、前記第1SQNB処理中に、前記第1SQNBプロセスプラズマを生成するように設定された前記上部プラズマ領域からの第1電子束を含む第1群のビームを前記切り換え可能なプラズマ領域内で生成し、かつ、前記第2SQNB処理中に、前記第2SQNBプロセスプラズマを生成するように設定された前記上部プラズマ領域からの第2電子束を含む第2群のビームを前記切り換え可能なプラズマ領域内で生成する分離部材;
前記SQNBプロセスチャンバ内でパターニングされた基板を支持して、前記第1SQNB処理中に接地電位に結合し、かつ、前記第2SQNB処理中に接地電位から切り離される切り換え可能な基板ホルダ;
前記SQNBプロセスチャンバ内で前記切り換え可能な基板ホルダを取り囲み、前記第1SQNB処理中に前記第1電子束を制御するため、前記第1SQNBプロセスプラズマ電位を前記第1上部プラズマ電位より高い電位に上昇させ、かつ、前記第2SQNB処理中に前記第2電子束を制御するため、前記第2SQNBプロセスプラズマ電位を前記第2上部プラズマ電位より高い電位に上昇させる、バイアス電極システム;並びに、
前記プラズマ生成チャンバ内に配置された1つ以上の第1センサ、前記SQNBプロセスチャンバ内に配置された少なくとも1つの第2センサ、前記バイアス電極システム、及び、前記切り換え可能な基板ホルダと結合して、前記パターニングされた基板についての材料データを決定して、前記材料データを用いて前記第1SQNB処理と前記第2SQNB処理を設定する制御装置:
を有する切り換え可能な擬中性ビーム(SQNB)システム。
【請求項2】
前記プラズマ生成チャンバ内に配置された1つ以上の第1ガス分配素子;及び、
少なくとも1つの第1供給ラインを用いることによって前記1つ以上の第1ガス分配素子と結合する1つ以上の上部ガス供給システム;
をさらに有し、
前記第1ガス分配素子のうちの少なくとも1つは、前記第1SQNB処理中に、第1プラズマ生成ガスを前記上部プラズマ領域へ第1流速で供し、
前記第1ガス分配素子のうちの少なくとも1つは、前記第2SQNB処理中に、第2プラズマ生成ガスを前記上部プラズマ領域へ第2流速で供する、
請求項1に記載のSQNBシステム。
【請求項3】
前記第1プラズマ生成ガス及び/又は前記第2プラズマ生成ガスがフルオロカーボンガスと不活性ガスを有し、
前記フルオロカーボンガスは、C4F6、C4F8、C5F8、CHF3、及び/又はCF4を有し、かつ、
前記不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、及び/又はキセノン(Xe)を有する、
請求項2に記載のSQNBシステム。
【請求項4】
前記SQNBプロセスチャンバ内に配置された1つ以上の切り換え可能なガス分配素子;及び、
少なくとも1つの第1供給ラインを用いることによって前記1つ以上の切り換え可能なガス分配素子と結合する1つ以上の切り換え可能なガス供給システム;
をさらに有し、
前記切り換え可能なガス分配素子のうちの少なくとも1つは、前記第1SQNB処理中に、第1SQNBプロセスガスを前記切り換え可能なプラズマ領域へ供し、
前記切り換え可能なガス分配素子のうちの1つ以上は、前記第2SQNB処理中に、第2SQNBプロセスガスを前記切り換え可能なプラズマ領域へ供する、
請求項1に記載のSQNBシステム。
【請求項5】
前記第1プラズマ生成ガス及び/又は前記第2プラズマ生成ガスがフルオロカーボンガスと不活性ガスを有し、
前記フルオロカーボンガスは、C4F6、C4F8、C5F8、CHF3、及び/又はCF4を有し、かつ、
前記不活性ガスは、アルゴン(Ar)、ヘリウム(He)、クリプトン(Kr)、ネオン(Ne)、ラドン(Rn)、及び/又はキセノン(Xe)を有する、
請求項4に記載のSQNBシステム。
【請求項6】
前記プラズマ生成チャンバと結合する1つ以上の誘導コイル;及び、
少なくとも1つの整合ネットワークを用いることによって前記誘導コイルのうちの1つ以上と結合するプラズマ生成源;
を有し、
前記誘導コイルのうちの少なくとも1つは、前記上部プラズマ領域内において前記第1上部プラズマ電位で前記第1上部プラズマを生成する、
請求項1に記載のSQNBシステム。
【請求項7】
前記プラズマ生成源は高周波(RF)発生装置を有し、
前記プラズマ生成源からのRF出力は10[W]乃至1000[W]の範囲で、かつ、
前記プラズマ生成源のRF周波数は0.1MHz乃至100MHzの範囲である、
請求項6に記載のSQNBシステム。
【請求項8】
前記プラズマ生成源からの出力は、前記第1SQNB処理中及び/又は前記第2SQNB処理中に、変調され、変化を受け、パルス状にされ、ステップ状にされ、ランプ波形にされ、及び/又は一定に保持される、請求項6に記載のSQNBシステム。
【請求項9】
1つ以上の上部フィードスルー素子を用いることによって、前記プラズマ生成チャンバ内に配置された1つ以上の上部DC伝導性電極と結合する上部多位置スイッチ;及び、
前記上部多位置スイッチと結合する上部電源;
をさらに有し、
前記上部多位置スイッチは、前記上部フィードスルー素子のうちの少なくとも1つと結合する共通ポート、前記接地電位と結合する第1切り換え可能なポート、及び、前記上部電源と結合可能な第2切り換え可能なポートを有し、
前記上部多位置スイッチは、前記上部DC伝導性電極のうちの少なくとも1つを前記接地電位に結合する第1位置、及び、前記上部DC伝導性電極のうちの少なくとも1つを前記上部電源に結合する第2位置を有する、
請求項1に記載のSQNBシステム。
【請求項10】
前記上部電源はDC出力及び/又はAC出力を供し、かつ、
前記上部電源からの出力は、前記第1SQNB処理中及び/又は前記第2SQNB処理中に、変調され、変化を受け、パルス状にされ、ステップ状にされ、ランプ波形にされ、及び/又は一定に保持される、
請求項9に記載のSQNBシステム。
【請求項11】
1つ以上の上部フィードスルー素子を用いることによって、前記SQNBプロセスチャンバ内に配置された1つ以上の下部バイアス電極と結合する下部多位置スイッチ;及び、
前記下部多位置スイッチと結合するバイアス電源;
をさらに有し、
前記下部多位置スイッチは、前記下部フィードスルー素子のうちの少なくとも1つと結合する共通ポート、前記接地電位と結合する第1切り換え可能なポート、及び、前記バイアス電源と結合可能な第2切り換え可能なポートを有し、
前記下部多位置スイッチは、前記下部バイアス電極のうちの少なくとも1つを前記接地電位に結合する第1位置、及び、前記下部バイアス電極のうちの少なくとも1つを前記バイアス電源に結合する第2位置を有する、
請求項1に記載のSQNBシステム。
【請求項12】
前記バイアス電源はDC出力及び/又はAC出力を供し、かつ、
前記バイアス電源からの出力は、前記第1SQNB処理中及び/又は前記第2SQNB処理中に、変調され、変化を受け、パルス状にされ、ステップ状にされ、ランプ波形にされ、及び/又は一定に保持される、
請求項11に記載のSQNBシステム。
【請求項13】
上部電源は、前記バイアス電源によって前記SQNBプロセスチャンバ内の下部バイアス電極へ供されるバイアスDC電圧よりも小さいDC電圧を、前記プラズマ生成チャンバ内で結合した少なくとも1つの上部DC伝導性電極へ供する、請求項11に記載のSQNBシステム。
【請求項14】
1つ以上の第1フィードスルー素子を用いることによって、前記切り換え可能な基板ホルダ内に配置された1つ以上の基板バイアス電極と結合する第1多位置スイッチ;及び、
フィルタネットワークを用いることによって前記第1多位置スイッチと結合するバイアス生成装置;
をさらに有し、
前記第1多位置スイッチは、前記基板バイアス電極のうちの少なくとも1つと結合する共通ポート、前記接地電位と結合する第1切り換え可能なポート、及び、前記フィルタネットワークと結合する第2切り換え可能なポートを有し、
前記上部多位置スイッチは、前記基板バイアス電極のうちの少なくとも1つを前記接地電位に結合する第1位置、前記上部DC伝導性電極のうちの1つ以上を前記バイアス生成装置に結合する第2位置、及び、前記基板バイアス電極のうちの少なくとも1つを前記接地電位から切り離す第3位置を有する、
請求項1に記載のSQNBシステム。
【請求項15】
前記バイアス生成装置は高周波(RF)発生装置を有し、前記第1SQNB処理中及び/又は前記第2SQNB処理中、
前記バイアス生成装置からのRF出力は10[W]乃至1000[W]の範囲で、かつ、
前記バイアス生成装置のRF周波数は0.1MHz乃至100MHzの範囲である、
請求項14に記載のSQNBシステム。
【請求項16】
前記バイアス生成装置はDC出力及び/又はAC出力を供し、かつ、
前記バイアス生成装置からの出力は、前記第1SQNB処理中及び/又は前記第2SQNB処理中に、変調され、変化を受け、パルス状にされ、ステップ状にされ、ランプ波形にされ、及び/又は一定に保持される、
請求項14に記載のSQNBシステム。
【請求項17】
前記第1センサのうちの少なくとも1つは、前記第1SQNB処理中及び/又は前記第2SQNB処理中、前記プラズマ生成チャンバ内の上部プラズマ状態を検出し、かつ、
1つ以上の第2センサは、前記第1SQNB処理中及び/又は前記第2SQNB処理中、前記SQNBプロセスチャンバ内の下部プラズマ状態を検出する、
請求項1に記載のSQNBシステム。
【請求項18】
前記切り換え可能な基板ホルダは、背面ガスシステムと結合するデュアル背面ガス素子、及び、前記パターニングされた基板の第1端部温度と第1中央温度を設定する温度制御システムと結合する温度制御素子を有し、
前記第1端部温度と前記第1中央温度は0℃乃至100℃である、
請求項1に記載のSQNBシステム。
【請求項19】
前記第1SQNB処理は、前記パターニングされた基板上に改質されたマスク層を生成し、かつ、
前記第2SQNB処理は、前記改質されたマスク層を用いて、前記パターニングされた基板上に新たな部位を生成する、
請求項1に記載のSQNBシステム。
【請求項20】
切り換え可能な擬中性ビーム(SQNB)源を用いることによって基板を処理する方法であって:
切り換え可能なプロセスチャンバ内でパターニングされた基板を支持する切り換え可能な基板ホルダ上に前記パターニングされた基板を設ける工程;
第1切り換え可能な擬中性ビーム(SQNB)処理中に前記切り換え可能な基板ホルダを接地電位に接続する工程;
前記第1SQNB処理中に前記SQNB源からの第1空間電荷が中性化されたビームを用いることによって、前記パターニングされた基板上のマスク層を改質する工程;
第2SQNB処理中に前記切り換え可能な基板ホルダを前記接地電位から切り離す工程;及び、
前記第2SQNB処理中に前記SQNB源からの第2空間電荷が中性化されたビームを用いることによって、前記パターニングされた基板上に新たな部位を生成する工程;
を有する方法。

【図1】
image rotate

【図2A】
image rotate

【図2B】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5A】
image rotate

【図5B】
image rotate


【公表番号】特表2013−517600(P2013−517600A)
【公表日】平成25年5月16日(2013.5.16)
【国際特許分類】
【出願番号】特願2012−548988(P2012−548988)
【出願日】平成23年1月10日(2011.1.10)
【国際出願番号】PCT/US2011/020668
【国際公開番号】WO2011/087984
【国際公開日】平成23年7月21日(2011.7.21)
【出願人】(000219967)東京エレクトロン株式会社 (5,184)
【Fターム(参考)】