説明

基板の特徴を測定する、または分析のために基板を準備する方法およびシステム

基板の特徴を測定する、または分析のために基板を準備する方法およびシステムが提供される。基板の特徴を測定する1つの方法は、電子ビームを使用して基板上のフィーチャの一部を除去し、フィーチャの残りの部分の断面プロファイルを暴露させることを含む。フィーチャは、フォトレジスト・フィーチャとすることが可能である。本方法は、断面プロファイルの特徴を測定することをも含む。分析のために基板を準備する方法は、電子ビームと組み合わせて化学エッチングを使用して、欠陥に近接する基板上の材料の一部を除去することを含む。欠陥は、表面下欠陥または部分的表面下欠陥である可能性がある。分析のために基板を準備する他の方法は、電子ビームや光ビームと組み合わせて化学エッチングを使用して、欠陥に近接する基板上の材料の一部を除去することを含む。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、一般的には、基板の特徴を測定する、または分析のために基板を準備する方法およびシステムに関する。ある実施態様は、基板上の材料の一部を除去することを含む、基板の特徴を測定する、または分析のために基板を準備する方法およびシステムに関する。
【背景技術】
【0002】
以下の記述および例は、この段落内に含まれることによって従来の技術であるとは認められない。
【0003】
論理素子やメモリ素子などの半導体デバイスを製造することは、通常、半導体デバイスの様々なフィーチャを形成し、複数のレベルを形成するために、いくつかの半導体製造プロセスを使用して半導体ウェハなどの基板を処理することを含む。例えば、リソグラフィは、半導体ウェハの上に構成されたレジストにレチクルからパターンを転写することを含む半導体製造プロセスである。半導体製造プロセスのさらなる例には、化学機械研磨、エッチング、堆積、イオン注入があるが、これに限定されるものではない。複数の半導体デバイスがある構成において半導体ウェハの上に製造され、次いで、個々の半導体デバイスに分離される。
【0004】
製造プロセスにわたって、ウェハの上に形成されるフィーチャのパラメータが、プロセスの監視と制御の目的で測定される。例えば、モニタ・ウェハの上のフィーチャのプロファイルの3次元計測が、プロセス中の様々な時間においてしばしば実施される。具体的には、フォトレジスト・フィーチャの3次元プロファイルは、フィーチャについて設定された仕様(スペック)の範囲内にあるパラメータをフィーチャが有するかを判定するために、リソグラフィ・ステップ後に測定されることが多い。フィーチャのパラメータがスペック内にある場合、リソグラフィ・ステップをプロダクト・ウェハに実施する。一方、フィーチャのパラメータが仕様内にない場合、リソグラフィ・ステップの1つまたは複数のパラメータを変更する。次いで、他のモニタ・ウェハが、リソグラフィ・プロセスにおいて暴露され、上述された測定が、フィーチャのパラメータが仕様内にあるようになるまで実施される。
【0005】
「モニタ・ウェハ」という用語は、半導体プロダクトが形成されないウェハとして一般的に定義されている。代わりに、モニタ・ウェハは、1つのプロセス器具のパラメータを監視するためにのみ使用され、したがって、一般に、その1つの器具においてのみ処理される。使用後、モニタ・ウェハは、モニタ・ウェハが実行されたプロセスに応じてリサイクルされる、または廃棄される。モニタ・ウェハは、計測または検査のプロセスがウェハを損傷するとき、プロセス・モニタとして特に使用される。このようにして、モニタ・ウェハは、プロダクト・ウェハの代わりに破壊され、それにより計測または検査のコストを下げることができる。しかし、プロセスを監視し、制御するためにモニタ・ウェハを使用することは、モニタ・ウェハが再使用することができない程度に計測または検査によって損傷される場合、比較的高価になることがある。さらに、モニタ・ウェハとプロダクト・ウェハとの間に著しい違いが存在することがあるので(例えば、ウェハにおいて著しい差を生成することがあるプロダクト・ウェハより通常は少ないプロセスが、モニタ・ウェハについて実施される)、モニタ・ウェハを使用することにより、プロダクト・ウェハについて実施された測定と同程度の精度である結果が提供されない可能性がある。
【0006】
その結果、プロダクト・ウェハについて計測と検査を実施することが有利である。しかし、上述したように、多くの計測と検査のプロセスはウェハを損傷する。例えば、フォトレジスト・フィーチャが上に形成されるウェハは、フォトレジスト・フィーチャを通ってしばしば劈開され(すなわち、断片化され)、それにより、劈開されたサンプル上のフィーチャの断面プロファイルを見ることができる。ウェハは断片化されるので、この破壊的計測技法により廃棄ウェハが生じる。他の計測技法は、イオン・ビームを使用するフォトレジスト・フィーチャ断面化を含む。193nmフォトレジスト・フィーチャとより小さい線では、フォトレジスト・フィーチャは、イオン・ビームにより誘起される損傷を低減するために、タングステンまたは白金の堆積に暴露される。堆積させられた金属上部層は、193nmフォトレジスト線に対して応力を生成し、その結果、フォトレジストは圧縮され変形される。この損傷は、少なくとも一部には、隣接フォトレジスト・フィーチャ間に間隙を生成する、堆積プロセス中の基板の不完全なコンフォーマル・コーティングのためである。したがって、結果的な断面は、時には結果がフィーチャの特徴(例えば、臨界寸法)の現実的な指標ではないような程度まで、構造の一体性を失う。さらに、そのような計測技法では、ガリウムまたは他の金属液体イオンソースを使用することにより、半導体デバイス製造の前端ライン(FEOL)部分において金属汚染を生成する。したがって、破壊され、したがって廃棄されたウェハ、金属汚染、および/または変形フォトレジスト・フィーチャを含めて、現在使用されている3次元計測技法にはいくつかの欠点がある。
【0007】
高度な半導体デバイスの寸法は小さくなり続けているので、半導体デバイスにおける欠陥の存在は、半導体デバイスの首尾よい製造または歩留まりをますます限定する。例えば、化学機械研磨中にウェハの上に形成されるスクラッチにより、その後の処理において形成される1つまたは複数の半導体デバイスにおいて開回路または短絡を生じる、あるいはその半導体デバイスの完全な不良を生じることがある。半導体デバイスの製造は、多くの複雑なプロセス・ステップを含むので、歩留まり全体に対する欠陥の悪影響は、1つの製造プロセス・ステップにおいてウェハの上に形成される欠陥により、その後の製造プロセス・ステップにおいて追加の欠陥がウェハの上に形成される場合、指数関数的に増大する可能性がある。
【0008】
したがって、半導体ウェハの欠陥の検出または「検査」は、半導体の開発と製造において著しく重要であり、またあり続ける。さらに、欠陥の再調査と分析は、欠陥の原因を決定して、できれば訂正することが可能であるように著しく重要である。制御可能な方式で選択位置においてデバイス膜層を除去する能力(「除層」)は、デバイス製造プロセス中の欠陥の再調査と分析について必須である。例えば、デバイス膜層の除去により、欠陥、具体的には表面下または部分的に表面下の欠陥をよりよく見ることが可能になるであろう。さらに、デバイス膜層の除去により、周囲膜層からの妨害が少ない状態で、欠陥組成物の分析を実施することが可能になる。
【0009】
基板を除層する現行技法は、イオン・ビーム・エッチング、レーザ・アブレーション・エッチング、またはマイクロチップを使用する機械研摩を使用する。集束イオン・ビーム・エッチングは、エッチングを刺激するためにガリウム・イオンを使用する。レーザ・アブレーション技法は、膜を除去する化学反応と熱反応を生じさせるように基板の表面を加熱するために、レーザを使用する。機械アブレーション技法は、欠陥の回りの膜を除去するために、マイクロチップを使用する。
【0010】
現行技法の中では、イオン・ビーム・エッチングが、デバイスを除層するために使用される最も完成した技法である。しかし、エッチングを刺激するためにイオン・ビームを使用するとき、ソースからのガリウム・イオンが膜に注入され、これにより、エッチングされたフィーチャが変化し、周囲領域の光学的、電気的、機械的特性が変化することがある。デバイス上のガリウム・イオンの存在は、製造においてデバイスとウェハのさらなる処理を限定することがあり、ウェハ全体を廃棄することになる。さらに、集束イオン・ビーム・エッチング中、エッチングされた材料は、ウェハの上の周囲領域に堆積する可能性がある。基板の除層に使用される他の技法も、いくつかの欠点を有する。例えば、レーザ・アブレーション技法は低いエッチング選択性を有する。さらに、機械アブレーション方法は、いくつかの大きい欠陥および膜への適用を限定してきた。
【発明の開示】
【発明が解決しようとする課題】
【0011】
したがって、基板またはフィーチャを破壊、汚染、または変形しないで、基板上のフィーチャを3次元計測し、また基板上の材料を除層する方法およびシステムを開発することが有利である。
【課題を解決するための手段】
【0012】
基板の特徴を測定する、または分析のために基板を準備する方法およびシステムの様々な実施態様の以下の記述は、添付の請求項の主題を限定すると決して解釈されるべきではない。
【0013】
本発明の一実施態様は基板の特徴を測定する方法に関する。一実施態様では、基板は、プロダクト・ウェハを含む。該方法は、電子ビームを使用して基板上のフィーチャの一部を除去して、フィーチャの残りの部分の断面プロファイルを暴露させることを含む。フィーチャの一部の除去は、フィーチャの残りの部分を実質的には変形しない。さらに、除去されるフィーチャの一部は、電子ビームによって照射されるフィーチャの領域にほぼ限定される。一実施態様では、フィーチャはフォトレジスト・フィーチャを含む。
【0014】
該方法は、フィーチャの残りの部分の断面プロファイルの特徴を測定することも含む。断面プロファイルの特徴は、フィーチャの3次元特徴を含む。一実施態様では、特徴の測定は、電子ビームを使用して実施することが可能である。そのような実施態様では、本方法は、フィーチャの一部の除去と特徴の測定との間において、基板を電子ビームに対して傾斜させることを含む。異なる実施態様では、特徴の測定は、異なる電子ビームを使用して実施することが可能である。異なる電子ビームは、基板に対して所定の傾斜位置に配置される。上述された方法の実施態様のそれぞれは、本明細書において記述されるあらゆる他のステップを含むことが可能である。
【0015】
他の実施態様は、基板の特徴を測定するために構成されたシステムに関する。一実施態様では、基板はプロダクト・ウェハを含む。本システムは、1つまたは複数の電子ビームを基板に供給するために構成された電子供給サブシステムを含む。1つまたは複数の電子ビームは、フィーチャの残りの部分の断面プロファイルを暴露させるために、基板上のフィーチャの一部を除去する。一実施態様では、フィーチャは、フォトレジスト・フィーチャを含む。フィーチャの一部の除去は、フィーチャの残りの部分を実質的には変形しない。除去されるフィーチャの部分は、1つまたは複数の電子ビームによって照射されるフィーチャの領域にほぼ限定される。
【0016】
1つまたは複数の電子ビームは、フィーチャの残りの部分の断面プロファイルの特徴を測定することもできる。断面プロファイルの特徴は、フィーチャの3次元特徴を含む。一実施態様では、本システムは、フィーチャの一部の除去と特徴の測定との間において、1つまたは複数の電子ビームに対して基板を傾斜させるように構成することが可能である。他の実施態様では、フィーチャの一部の除去は、1つまたは複数の電子ビームの第1を使用して実施される。特徴の測定は、1つまたは複数の電子ビームの第2を使用して実施される。第2電子ビームは、基板に対して所定の傾斜位置において構成することが可能である。上述されたシステムの実施態様のそれぞれは、本明細書において記述されるようにさらに構成することが可能である。
【0017】
追加の実施態様は、分析のために基板を準備する方法に関する。基板はプロダクト・ウェハを含む。本方法は、電子ビームを組み合わせて化学エッチングを使用して、欠陥の近くの基板上の材料の一部を除去することを含む。欠陥は、表面下欠陥または部分的表面下欠陥を含む。除去される材料の一部は、約10μm×約10μm以下の面積であろう。化学エッチングは、基板をエッチング化学作用に暴露させることを含む。エッチング化学作用は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。いくつかの実施態様では、本方法は、電子ビームと組み合わせて化学エッチングを使用して、欠陥の近くの基板上の追加の材料の一部を除去することを含むこともある。追加の材料は、材料とは異なり、その材料の下に形成されている。
【0018】
一実施態様では、本方法は、欠陥の特徴を決定するために欠陥を分析することを含む。例えば、本方法は、欠陥の特徴を決定するために電子ビームを使用して欠陥を分析することを含む。他の実施態様では、本方法は、欠陥の特徴を決定するためにx線分析システムを使用して欠陥を分析することを含む。欠陥の特徴は欠陥の組成物を含む。上述された方法の実施態様のそれぞれは、本明細書において記述されるあらゆる他のステップを含む。
【0019】
他の実施態様は、分析のために基板を準備するように構成されたシステムに関する。一実施態様では、基板はプロダクト・ウェハを含む。本システムは、1つまたは複数の化学物質を基板に供給するように構成された化学供給サブシステムを含む。システムは、電子ビームを基板に供給するように構成された電子供給サブシステムをも含む。電子ビームと組み合わされている1つまたは複数の化学物質は、欠陥の近くの基板上の材料の一部を除去する。欠陥は、表面下欠陥または部分的表面下の欠陥を含む。除去される材料の一部は、約10μm×約10μm以下の面積を有する。
【0020】
一実施態様では、1つまたは複数の化学物質は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。電子ビームと組み合わされている1つまたは複数の化学物質は、欠陥の近くの基板上の追加の材料の一部を除去する。追加の材料は、先の材料とは異なり、その材料の下に形成されている。
【0021】
いくつかの実施態様では、本システムは、欠陥の特徴を測定するように構成された分析サブシステムを含む。一実施態様では、電子供給サブシステムは、電子ビームを使用して欠陥の特徴を測定するように構成することが可能である。このようにして、電子供給サブシステムは、分析サブシステムとして機能することも可能である。他の実施態様では、分析サブシステムはx線分析システムを含む。いくつかの実施態様では、欠陥の特徴は、組成物を含む。上述されたシステムの実施態様のそれぞれは、本明細書において記述されるようにさらに構成することが可能である。
【0022】
さらに他の実施態様は、分析のために基板を準備する異なる方法に関する。この方法は、電子ビームと光ビームと組み合わせて化学エッチングを使用して、欠陥の近くの基板上の材料の一部を除去することを含む。電子ビームは、光ビームと同軸状で基板に供給される。化学エッチングは、基板をエッチング化学作用に暴露させることを含む。一実施態様では、エッチング化学作用は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。材料の一部を除去することは、材料を光ビームで加熱することを含む。さらに、材料の一部を除去することは、材料の水平表面を加熱し、材料の垂直表面を実質的には加熱しないことを含む。除去される材料の部分は、約10μm×約10μm以下の面積である。
【0023】
一実施態様では、本方法はレーザで光ビームを生成することを含む。いくつかの実施態様では、本方法は、電子ビームと光ビームと組み合わせて化学エッチングを使用して、欠陥の近くの基板上の追加の材料の一部を除去することを含んでもよい。追加の材料は、先の材料とは異なり、その材料の下に形成されている。材料の一部を除去し、追加の材料の一部を除去することは、材料と追加の材料を光ビームで差別的に加熱することを含む。
【0024】
欠陥は表面下欠陥または部分的表面下欠陥を含む。いくつかの実施態様では、材料はデバイス膜を含む。そのような実施態様では、材料の一部を除去することは、基板上のデバイス・フィーチャのアスペクト比を実質的には変更しない。いくつかの実施態様では、本方法は、欠陥の特徴を決定するために欠陥を分析することを含んでもよい。一実施態様では、本方法は、欠陥の特徴を決定するために電子ビームを使用して欠陥を分析することを含む。異なる実施態様では、本方法は、欠陥の特徴を決定するためにx線分析システムを使用して欠陥を分析することを含む。一実施態様では、欠陥の特徴は組成物を含む。上述された方法の実施態様のそれぞれは、本明細書において記述されるあらゆる他のステップを含む。
【0025】
追加の実施態様は、分析のために基板を準備するように構成される異なるシステムに関する。このシステムは、1つまたは複数の化学物質を基板に供給するように構成される化学物質供給サブシステムを含む。1つまたは複数の化学物質は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。本システムは、光ビームと同軸状に電子ビームを基板に供給するように構成された電子と光の供給サブシステムをも含む。電子ビームや光ビームと組み合わされている1つまたは複数の化学物質は、欠陥の近くの基板上の材料の一部を除去する。
【0026】
欠陥は、表面下欠陥または部分的表面下欠陥を含む。一実施態様では、材料はデバイス膜を含む。そのような実施態様では、電子ビームや光ビームと組み合わされている1つまたは複数の化学物質は、基板上のデバイス・フィーチャのアスペクト比を実質的には変更しない。除去される材料の部分は、約10μm×約10μm以下の面積である。
【0027】
一実施態様では、電子供給サブシステムと光供給サブシステムは、光ビームを生成するように構成されるレーザを含む。電子供給サブシステムと光供給サブシステムは電子カラムをも含む。電子カラムは光ビームを電子カラムに入れるように構成された光学ウィンドウを含む。さらに、電子供給サブシステムと光供給サブシステムはミラーを含み、アパーチャがミラーを経て形成される。電子ビームは、アパーチャを通過し、光ビームは、光ビームが電子ビームと同軸状であるようにミラーから反射される。
【0028】
電子と光の供給サブシステムは光ビームが材料を加熱するようにも構成される。さらに、電子供給サブシステムと光供給サブシステムは、光ビームが材料の水平表面を加熱し、材料の垂直表面を実質的には加熱しないように構成される。いくつかの実施態様では、電子ビームや光ビームと組み合わされている1つまたは複数の化学物質は、欠陥の近くの基板上の追加の材料の一部を除去することも可能である。追加の材料は、材料とは異なり、その材料の下に形成されている。1つのそのような実施態様では、電子供給サブシステムと光供給サブシステムは、光ビームが材料と追加の材料を差別的に加熱するように構成することが可能である。
【0029】
いくつかの実施態様では、本システムは、欠陥の特徴を測定するように構成される分析サブシステムを含んでいる。一実施態様では、電子供給サブシステムと光供給サブシステムは、電子ビームを使用して欠陥の特徴を測定するように構成される。したがって、電子供給サブシステムと光供給サブシステムは、分析サブシステムとして機能することが可能である。他の実施態様では、分析サブシステムはx線分析システムを含む。欠陥の特徴は欠陥の組成物である。上述されたシステムの実施態様のそれぞれは、本明細書において記述されるようにさらに構成することが可能である。
【0030】
本発明の他の利点が、好ましい実施態様の以下の詳細な記述の利益を有し、かつ添付の図面を参照する際に当業者には明らかになることが可能である。
【発明を実施するための最良の形態】
【0031】
本発明は、様々な修正や代替形態の余地があるが、特定の実施形態が、図面において例として示されており、本明細書において詳細に記述されることが可能である。図面は、縮尺調整されていない。しかし、図面や詳細な記述は、開示される特定の形態に本発明を限定することを意図するものではなく、対照的に、本発明の意図は、添付の特許請求の範囲によって定義される本発明の精神と範囲内にあるすべての修正、等価物、代替物を網羅することである。
【0032】
本明細書において使用される際に、「基板」という用語は、ウェハまたはレチクルとして一般に定義される。本明細書において使用される際に、「ウェハ」という用語は、一般に、半導体または非半導体材料で形成される基板を指す。そのような半導体または非半導体材料の例には、単結晶シリコン、ヒ化ガリウム、リン化インジウムがあるが、これに限定されるものではない。そのような基板は、半導体製造設備において一般的に見る、および/または処理することが可能である。
【0033】
ウェハは基板のみを含む。そのようなウェハは、一般的に「バージン・ウェハ」と呼ばれる。代替として、ウェハは基板の上に形成された1つまたは複数の層を含む。例えば、そのような層は、レジスト、誘電体材料、導体材料を含むが、これに限定されるものではない。レジストまたは「フォトレジスト」は、光学リソグラフィ技法、eビーム・リソグラフィ技法、またはX線リソグラフィ技法によってパターン化することが可能である任意の材料を含む。誘電体材料の例には、二酸化ケイ素、窒化ケイ素、酸窒化ケイ素、窒化チタニウムがあるが、これに限定されるものではない。誘電体材料の追加の例には、Applied Material,Inc.、カルフォルニア州サンタ・クララから市販されているBlack Diamond(登録商標)、Novellus Systems,Inc.、カルフォルニア州サンタ・クララから市販されているCORAL(登録商標)などの「低k」誘電体材料や「キセロゲル」などの「超低k」誘電体材料、さらには五酸化タンタルなどの「高k」誘電体材料がある。さらに、導体材料に例には、アルミニウム、ポリシリコン、銅があるが、これに限定されるものではない。
【0034】
ウェハの上に形成された1つまたは複数の層は、パターン化する、または非パターン化することが可能である。例えば、ウェハは、反復可能なパターンのフィーチャを有する複数のダイを含む。材料のそのような層を形成し、処理することにより、最終的に完成半導体デバイスを得ることが可能である。したがって、ウェハは、完成半導体デバイスのすべての層が上に形成されている訳ではない基板、または完成半導体デバイスのすべての層が上に形成されている基板を含む。「半導体デバイス」という用語は、本明細書では、「集積回路」という用語と交換可能に使用される。さらに、微小電子機械(MEMS)デバイスなどの他のデバイスがウェハの上に形成されることも可能である。
【0035】
「レチクル」または「マスク」は、上に形成され、かつあるパターンにおいて構成されるほぼ不透明の領域を有するほぼ透明の基板として一般に定義される。基板は、例えば、石英などのガラス材料を含む。ほぼ不透明の領域は、クロミウムなどの材料で形成することが可能である。レチクルは、レチクルの上のパターンがレジストに転写されるように、リソグラフィ・プロセスの暴露ステップ中にレジストで覆われたウェハの上側に配置するされる。例えば、レチクルのほぼ不透明の領域は、エネルギーソースへの暴露からレジストの基礎領域を保護する。多くの異なるタイプのレチクルが、当技術分野において知られており、本明細書において使用されるレチクルという用語は、すべてのタイプのレチクルを包含することを意図する。
【0036】
本明細書において使用される際に、「フィーチャ」という用語は、一般に、3次元においてある程度の側方の延び(すなわち、幅ならびに高さ)を有する基板上に形成された任意の構造を指す。フィーチャの例には、半導体ウェハの上に形成されたパターン化構造がある。パターン化構造は、当技術分野において既知の任意のプロセスを使用して半導体ウェハの上に形成することが可能である(例えば、リソグラフィおよびエッチング)。フィーチャは、レジスト、導体材料、絶縁材料など、当技術分野において既知の任意の材料で形成することが可能である。
【0037】
ここで図面を参照すると、図1〜21は縮尺調整されて描かれていないことに留意されたい。具体的には、図の要素のいくつかの縮尺は、要素の特徴を強調するために大きく誇張されている。また、図1〜21は同じ縮尺で描かれていないことにも留意されたい。同様に構成することが可能である2つ以上の図に示される要素は、同じ参照符号を使用して示されている。
【0038】
ここで図面を参照すると、図1、2は、基板の特徴を測定する方法を示す。図1に示されるように、フィーチャ10が基板12の上に形成される。フィーチャは、一実施形態ではフォトレジスト・フィーチャとすることが可能である。しかし、フィーチャは、上述されたフィーチャのいずれかとすることが可能である。例えば、フィーチャは、導体フィーチャまたは絶縁フィーチャとすることが可能である。フィーチャ10は、線として示されている。しかし、フィーチャは任意の形状を有することを理解されたい。一実施形態では、基板はプロダクト・ウェハである。したがって、フィーチャは、プロダクト・ウェハの上の試験領域において、またはプロダクト・ウェハの上のデバイス領域に形成される。すなわち、フィーチャは試験フィーチャまたはデバイス・フィーチャである。しかし、基板は、上述された他の基板のいずれかを含む。
【0039】
図1にさらに示されるように、本方法は、電子ビーム14を使用してフィーチャ10の一部10aを除去し、それによりフィーチャ10の残りの部分の断面プロファイルを暴露させる。すなわち、この技法は、電子ビームを使用して材料を基板から除去し、それにより基板上のフィーチャの直交プロファイルを明らかにする。例えば、図2に示されるように、フィーチャ10の一部10aが電子ビーム14を使用して除去された後、フィーチャ10の残りの部分10b、10cの断面プロファイル16が暴露される。図1、2に示されるように、除去されるフィーチャ10の一部10aは、電子ビーム14によって照射されるフィーチャの領域にほぼ限定される。さらに、フィーチャ10の一部10aを除去することは、基板12のあらゆる部分を除去しない。このようにして、基板12を損傷または破壊せずに、フィーチャ10の一部10aを除去することができる。さらに、電子ビームは基板を汚染しない。したがって、本明細書において記述される方法およびシステムは基板を汚染または破壊しないので、本明細書において記述される基板の特徴を測定する方法およびシステムは、現在使用されている他の方法およびシステムより有利である。
【0040】
図1、2においてさらに示されるように、電子ビーム14を使用してフィーチャ10の一部10aを除去することは、フィーチャの残りの部分10b、10cを実質的には変形しない。すなわち、残りの部分10b、10cは、フィーチャ10とほぼ同じ寸法で、3次元プロファイルを有する。図3は、本明細書において記述される方法によって一部が除去される他の形状を有するフィーチャをも示す。図3に示されるように、フィーチャ18の残りの部分18a、18bは、元のフィーチャとほぼ同じ寸法で3次元プロファイルを有する。さらに、フィーチャ20の残りの部分20a、20bは、元のフィーチャとほぼ同じ寸法で3次元プロファイルを有する。図3に示されるように、異なる形状のフィーチャの一部を本明細書において記述されるように除去することができ、電子ビームによってエッチングされているフィーチャの形状は、フィーチャの残りの部分の品質に対して影響を有さない。
【0041】
材料除去の品質(例えば、水平直線性、側壁直交性、材料除去率など)は、一般に、電子ビーム集束品質、ランディング・エネルギー、エッチング気体利用可能性とビーム滞在時間の関数である。したがって、電子ビームのこれらのパラメータを、材料除去とフィーチャの残りの部分の品質を最適化するように変更することができる。これらのパラメータは、例えば、フィーチャの特徴(例えば、サイズおよび組成)や基板の特徴(例えば、組成、基礎層など)に応じて変更することも可能である。このようにして、本明細書において記述される方法およびシステムは、測定に特に適切なフィーチャの暴露断面プロファイルを生成するために使用することができるが、その理由は、フィーチャの残りの部分が、フィーチャの元の特徴を保持するからである。したがって、本明細書において記述される方法およびシステムは、基板上のフィーチャの変形を生じないので、基板の特徴を測定するために本明細書において記述される方法およびシステムは、他の現在使用されている方法およびシステムより有利である。
【0042】
方法は、フィーチャ10の残りの部分10b、10cの断面プロファイル16の少なくとも1つの特徴を測定することをも含む。例えば、断面プロファイル16の特徴を測定することは、電子ビーム14を使用して実施することが可能である。そのような例では、電子ビームは、走査電子顕微鏡技法を使用して断面プロファイルの1つを撮像するために使用される。測定される特徴はフィーチャの3次元特徴を含む(例えば、フィーチャの臨界寸法、フィーチャの高さ、フィーチャの側壁の角度または傾斜、フィーチャの3次元プロファイル、あるいは走査電子顕微鏡技法を使用して測定することが可能である断面プロファイルのあらゆる他の特徴)。例えば、図3に示されるように、走査電子顕微鏡技法を使用して測定することが可能である残りの部分18b、20bの断面プロファイル22,24の3次元特徴には、それぞれ、臨界寸法26、高さ28、傾斜30があるが、これに限定されるものではない。したがって、本方法は、基板の上に形成されたフィーチャの3次元計測に特に有用である。さらに、フィーチャの3次元計測は、フィーチャをエッチングしながら実施することが可能である(例えば、同じ電子ビームを使用して)。
【0043】
同じ電子ビームが、フィーチャの一部を除去し、断面プロファイルの特徴を測定するために使用される場合、電子ビームを供給するために使用されるサブシステムのパラメータは、電子ビームの1つまたは複数の特徴(例えば、エネルギー、焦点など)を変化させるために、除去と測定との間において変更することが可能である。電子ビームのパラメータは、例えば、フィーチャのサイズ、フィーチャの組成、基板の組成、またはフィーチャが形成される基板の層の組成に応じて変更することが可能である。除去と測定について適切なパラメータの選択が、当業者には明らかになるであろう。具体的には、電子ビームの特徴(したがって、電子供給サブシステムのパラメータ)は、フィーチャの一部が除去される率が暴露断面プロファイルの垂直性を向上させるように選択することが可能である。すなわち、電子ビームの特徴は、フィーチャの残りの部分の不足エッチングまたは過剰エッチングを回避するように選択することが可能である。
【0044】
除去に使用されるのと同じ電子ビームでフィーチャの残りの部分の断面プロファイルの特徴を測定するとき、基板は、フィーチャの一部が除去された後で、かつ特徴が測定される前に、電子ビームに対して傾斜させられる。このようにして、電子ビームは、フィーチャの残りの部分に対して適切な視角に構成することが可能である。基板は、フィーチャの一部の除去と測定との間において、基板が上に配置されるステージ(図示せず)の位置を変更することによって傾斜させられる。代替としてまたはさらに、電子ビームは、電子ビームが測定中に適切な視角にあるように、フィーチャの一部が除去された後で、かつ測定の前に、基板に対して傾斜させられる。電子ビームは、電子ビームを基板に供給するように構成される電子供給サブシステムの1つまたは複数のパラメータを変更することによって傾斜させられる。
【0045】
異なる実施形態では、フィーチャの一部を除去することは、1つの電子ビームを使用して実施することが可能であり、フィーチャの断面プロファイルの特徴を測定することは、異なる電子ビームを使用して実施することが可能である。そのような実施形態では、異なる電子ビームは、基板に対して所定の傾斜位置で構成することが可能である。このようにして、基板が、異なる電子ビームに結合されたステージの上に配置された後、ステージの位置は、測定を実施することができるようになる前に変更する必要がない。
【0046】
1つのそのような実施形態では、他の電子ビームが基板上の異なるフィーチャの断面プロファイルの特徴を測定している間、1つの電子ビームが、基板上の1つのフィーチャの一部を除去することが可能である。測定されている断面プロファイルは、第1電子ビームを使用して以前に暴露されている可能性がある。したがって、異なる電子ビームは、基板について異なる機能(例えば、除去および測定)を同時に実施することが可能である。すなわち、同じステージ上に配置されている間、基板が2つ以上の電子ビームに暴露される。
【0047】
他の例では、他の電子ビームが除去と他のフィーチャについての測定をしている間、1つの電子ビームが、1つの基板について除去し、測定を実施するために使用することが可能である。異なる例では、他の電子ビームが他の基板上のフィーチャを測定する間、電子ビームの1つが、1つの基板上のフィーチャの一部を除去することが可能である。上述された方法の実施形態のそれぞれは、本明細書において記述されるあらゆる他のステップを含む。
【0048】
追加の実施形態は、上述された方法により基板の特徴を測定するように構成されたシステムに関する。図4は、1つのそのようなシステムの実施形態を示す。図4に示されるように、システムは、電子供給サブシステム32を含む。電子供給サブシステム32は、電子ビーム(図示せず)を基板(図示せず)に供給するように構成される。本システムは、除去と測定中に基板を配置することが可能であるステージ34をも含む。ステージ34は、当技術分野において既知の任意の適切なステージを含む。電子供給サブシステムは、電子カラムとして構成することが可能である。電子供給サブシステムは、当技術分野において既知の任意の適切な電子カラムを含む。電子供給サブシステムは、電子カラムに結合された追加の構成要素(図示せず)を含んでもよい。追加の構成要素は、例えば、電子カラムを制御するように構成された構成要素を含む。本システムは、電子カラムと、随意選択でステージに結合されたプロセッサ(図示せず)などの他の構成要素を含んでもよい。プロセッサは、本明細書においてさらに記述されるように、電子カラムとステージを制御するように構成することが可能である。
【0049】
電子供給サブシステム32によって基板に供給された電子ビームは、上述されたように、基板上のフィーチャの一部を除去し、それにより、フィーチャの残りの部分の断面プロファイルを暴露させるために使用することができる。フィーチャは、上述されたフォトレジスト・フィーチャまたは他のフィーチャのいずれかを含む。基板は、上述されたプロダクト・ウェハまたはあらゆる他の基板とすることが可能である。上述されたように、電子ビームによるフィーチャの一部の除去は、図2、3に示されるように、フィーチャの残りの部分を実質的には変形しない。さらに、除去されるフィーチャの一部は、上記においてさらに記述され、かつ図1、2に示されるように、電子ビームによって照射されるフィーチャの領域にほぼ限定される。
【0050】
電子供給サブシステム32によって基板に供給された電子ビームは、フィーチャの残りの部分の断面プロファイルの特徴を測定するために使用することもできる。特徴は、上述されたフィーチャの任意の3次元特徴を含む。図4に示されるシステムの一実施形態では、システムは、除去と測定との間において電子ビームに対して基板を傾斜させるように構成されている。例えば、本システムは、ステージ34に結合されたプロセッサまたは制御装置を含む。プロセッサまたは制御装置を、ステージ34の位置を変更し、それにより電子ビームに対する基板の位置を変更するように構成することが可能である。このようにして、本システムは、除去と測定の両方について電子ビームに対して基板が適切な角度に構成されるように、ステージを制御するように構成される。
【0051】
他の実施形態では、図4に示されるシステムは、除去と測定との間において電子供給サブシステム32の電子ビームを傾斜させるように構成される。例えば、本システムは、電子供給サブシステム32に結合されたプロセッサまたは制御装置を含む。プロセッサまたは制御装置は、可能であれば電子供給サブシステムの1つまたは複数の構成要素と組み合わせて、電子カラムの1つまたは複数のパラメータを変更し、それにより基板に対する電子ビームの位置を変更するように構成させることが可能である。したがって、システムは、除去と測定の両方について基板に対して適切な角度において電子ビームを構成することが可能であるように、電子供給サブシステムを制御するように構成される。
【0052】
図5は、基板の特徴を測定するように構成されたシステムの他の実施形態を示す。この実施形態では、本システムは、2つの電子供給サブシステム36、38を含む。電子供給サブシステムのそれぞれは、電子ビームを基板(図示せず)に供給するように構成される。例えば、電子供給サブシステム36は、ステージ40の上に配置される基板に電子ビーム(図示せず)を供給するように構成され、電子供給サブシステム38は、ステージ42の上に配置される基板に電子ビーム(図示せず)を供給するように構成される。ステージ40、42は、当技術分野において既知の任意の適切なステージを含む。さらに、ステージ40、42は、同じまたは異なるタイプのステージとすることが可能である。
【0053】
電子供給サブシステムのそれぞれは、一般的に、電子カラムとして構成することが可能である。電子供給サブシステムは、当技術分野において既知の任意の適切な電子カラムを含む。さらに、2つの電子供給サブシステムの電子カラムを、同様にまたは異なるように構成することが可能である。電子供給サブシステムは、電子カラムに結合された追加の構成要素(図示せず)を含んでもよい。追加の構成要素は、例えば、電子ビームを制御するように構成された構成要素を含む。本システムは、電子カラムの1つまたは複数およびステージの1つまたは複数に結合された1つまたは複数のプロセッサ(図示せず)などの他の構成要素を含んでもよい。プロセッサは、本明細書においてさらに記述されるように、電子カラムとステージを制御するように構成される。
【0054】
電子供給サブシステム36によって基板に供給された電子ビームは、上述されたように除去に使用することができる。電子供給サブシステム38によってサブシステムに供給された電子ビームを、上述されたように測定に使用することができる。図5に示されるシステムの一実施形態では、電子供給サブシステム38を、電子供給サブシステム38が基板に供給する電子ビームが基板に対して所定の傾斜位置に配置されるように構成する。このようにして、ステージ42の位置は、測定前に実質的に変更される必要がない。
【0055】
したがって、図5に示されるシステムでは、1つの電子供給サブシステムを除去に使用することが可能であり、他の電子供給サブシステムを測定に使用することが可能である。さらに、図5に示されるように、電子供給サブシステムのそれぞれは、異なるステージに結合される。したがって、例えば電子供給サブシステム36によって供給された電子ビームによって基板上のフィーチャの一部を除去した後、電子供給塔38によって供給された電子ビームがフィーチャの特徴を測定することができるように、基板ハンドラ(図示せず)が基板をステージ40から除去して、基板をステージ42に移動させる。したがって、一実施形態では、2つの電子供給サブシステムは、共通の基板ハンドラによって結合される。さらに、図5に示されるように、電子供給塔36、38を、1つのハウジング44の内部において構成することが可能である。
【0056】
したがって、上述された実施形態では、1つの電子供給サブシステムを材料除去に専用とし、他の電子供給サブシステムを測定に専用とすることが可能である。しかし、電子供給サブシステム32に関して上述されたように、材料除去と測定の両方を実施するように両方の電子供給サブシステムを構成することも可能であることを理解されたい。どちらの実施形態でも、図5に示されるシステムは、2つの基板を同時に処理するように構成させることが可能である。例えば、一方の電子供給サブシステムが、1つの基板上の1つのフィーチャの上の材料除去を実施し、他方の電子供給サブシステムが、他の基板上の他のフィーチャの測定を実施する。他の例では、電子供給サブシステムの一方が、基板上のフィーチャの一部を除去し、次いでフィーチャの3次元特徴を測定することができ、他の電子供給サブシステムは異なる基板を同様に処理する。
【0057】
上述されたように、2つの電子供給サブシステムは、共通の基板ハンドラによって結合され、または1つのハウジングの内部に構成させることが可能である。しかし、2つの電子供給サブシステムは、異なる方式で結合することが可能である。例えば、図6に示される一実施形態では、電子供給サブシステム36は、共通プロセッサ46によって電子供給サブシステム38に結合される。プロセッサ46は、伝送媒体48によって電子供給サブシステム36に結合されてもよい。プロセッサ46を、伝送媒体50によって電子供給サブシステム38に結合することも可能である。伝送媒体48、50は、当技術分野において既知の任意の適切な伝送媒体を含むことが可能であり、「有線」部分と「無線」部分を含む。プロセッサ46は、本明細書において記述される様々な機能を実施するように構成できる。さらに、プロセッサ46は、電子供給サブシステム36および/または電子供給サブシステム38から測定データを受信するように構成されてもよい。プロセッサ46は、当技術分野において既知の任意の方法を使用して測定データを処理するように構成されてもよい。例えば、プロセッサは、電子供給サブシステム36および/または電子供給サブシステム38から像データを受信することが可能である。プロセッサ46は、像データからフィーチャの縁を抽出して、像データからフィーチャの1つまたは複数の特徴を決定するために、1つまたは複数のアルゴリズムを使用することも可能である。
【0058】
図7に示される他の実施形態では、電子供給サブシステム36は、伝送媒体52によって電子供給サブシステム38に結合する。伝送媒体52は、当技術分野において既知の任意の適切な伝送媒体を含むことが可能であり、「有線」部分と「無線」部分を含む。伝送媒体は、2つの電子供給サブシステム間の情報リンクとして作用する。さらに、電子供給サブシステム36、38は、それ自体のプロセッサ、ウェハ・ハンドラ、ハウジング、電力ソース、その他(図示せず)を有することが可能である。したがって、各電子供給サブシステムを、伝送媒体を除いて他のサブシステムから完全に分離している準備(例えば、材料除去)および/または測定システムとして構成することが可能である。さらに、電子供給サブシステム36は、電子供給サブシステム38から遠隔に配置されてもよい。
【0059】
しかし、位置に関係なく、電子供給サブシステム36と電子供給サブシステム38を、伝送媒体52によって結合することが可能である。1つの特定の実施形態では、電子供給サブシステム36のプロセッサが、伝送媒体52によって電子供給サブシステム38のプロセッサに結合されることが可能である。このようにして、測定と他の情報を、サブシステムのプロセッサ間において送信することが可能である。例えば、電子供給サブシステム36は、上述されたようにエッチングされているフィーチャの位置を電子供給サブシステム38に送信することが可能である。次いで、電子供給サブシステム38は、情報を使用して、測定されるフィーチャを特定し、次いで測定を実施することが可能である。図5に示されるシステムは、本明細書において記述されるようにさらに構成されることが可能である。さらに、サブシステム36、38のプロセッサは、本明細書においてさらに記述されるように構成されることが可能である。
【0060】
図4〜7に示されるシステムの実施形態は、1つまたは2つの電子供給サブシステムを含むが、いくつかの実施形態では、システムは3つ以上の電子供給サブシステムを含むことを理解されたい。このようにして、3つ以上の電子ビームが、フィーチャのエッチングおよび/または測定のために基板に同時に供給されることが可能である。さらに、図4〜7に示されるシステムの実施形態では、各電子供給サブシステムは異なるステージに結合されるが、いくつかの実施形態では、2つ以上の電子供給サブシステムが同じステージに結合されることが可能であることを理解されたい。このようにして、2つ以上の電子ビームが、ほぼ同時に1つの基板に供給されることが可能である。したがって、フィーチャのエッチングおよび/または測定を、基板上の2つ以上の位置においてほぼ同時に実施することが可能である。図4〜7に示されるシステムを、本明細書において記述されるようにさらに構成させることが可能である。
【0061】
選択位置においてデバイス膜層を制御可能な方式で除去する(除層する)ために使用可能である追加の方法およびシステムが、本明細書で記述される。そのような除層は、デバイス製造プロセス中の欠陥の再調査や分析について必須である。上記においてさらに記述されたように、除層の現行技法には、イオン・ビーム・エッチング、レーザ・アブレーション・エッチング、マイクロチップを使用する機械アブレーションがある。これらの技法は、エッチングされたフィーチャや周囲領域の光学的、電子的、機械的特性の変化、さらには基板の汚染を生じるなどの欠点を有し、これにより基板は実際に破壊される。
【0062】
本明細書においてさらに記述される電子ビーム補助化学エッチングは、これらの技法に対して多くの利点を有する。例えば、エッチングについてイオン・ビームの代わりに電子ビームを使用することにより、イオン・ビームが周囲領域に生じるイオン汚染や2次汚染が排除される。したがって、本明細書において記述される方法およびシステムは、前半ライン(FEOL)処理と後半ライン(BEOL)処理と共存可能であり、本明細書において記述されるように除層されたウェハは、プロセス・ラインに戻すことができる。さらに、電子ビーム補助化学エッチングの他の利点は、高度なエッチング選択性と終点検出である。フッ素、塩素、臭素、酸素に基づく化学作用での選択的電子ビーム補助化学エッチングが、DRAMメモリ素子、論理デバイス、フォトレジストのほとんどの膜層について開発されている。さらに、本明細書において記述される方法およびシステムは比較的高いスループットを有するので、これらの方法およびシステムを使用して欠陥の根本を正確に特定することができる時間は、現在使用されている方法およびシステムより著しく短くすることが可能である。
【0063】
図8〜10は、分析のために基板を準備する方法の一実施形態を示す。図8に示されるように、欠陥54が基板56の上に形成されている。基板56は上述された基板のいずれかを含む。この例では、材料58が基板56の上に形成されている。材料58は、フォトレジスト、導体材料、または絶縁材料など当技術分野において既知の任意の材料でよい。1つの材料のみが図8〜10において基板56の上に示されているが、2つ以上の材料が本明細書において記述される基板の上に形成されていることもあることを理解されたい。材料のいくつかは、図8〜10に示されるように非パターン化されたままでも、または上述されたようにパターン化されていてよいもよい。図8に示されるように、欠陥54は部分的表面下欠陥である。すなわち、欠陥54の部分は材料58の表面60の下に位置する。しかし、本明細書において記述される方法およびシステムは、完全表面下欠陥(図12に示され、以下においてさらに記述される)、または表面欠陥(すなわち、基板の上面より下にはない欠陥)を含む基板について実施することも可能である。さらに、欠陥54は部分欠陥として示されているが、欠陥は、当技術分野において既知の任意の欠陥とすることが可能であることを理解されたい。
【0064】
図8に示されるように、材料58の一部が、電子ビーム64と組み合わされて化学エッチングに暴露される。化学エッチングは、基板56をエッチング化学作用62に暴露させることを含む。いくつかの実施形態では、エッチング化学作用は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。これらのエッチング化学作用は、1つまたは複数の化学物質を含む。例えば、フッ素ベース・エッチング化学作用は、アルゴンなどの他の化学物質と可能であれば組み合わされている1つまたは複数のフルオロカーボンを含む。多くのそのような化学作用は、当技術分野において既知であり、エッチング化学作用は任意のそのような化学作用を含む。エッチング化学作用の選択は、例えば材料58の組成、欠陥54の組成、その他エッチング化学作用に暴露される基板上のあらゆる他の材料の組成に応じて変更することが可能である。例えば、エッチング化学作用は、欠陥を実質的には変更またはエッチングしないように選択されることが好ましいが、その理由は、具体的には、本明細書においてさらに記述されるように欠陥は除層後に分析されるからである。さらに、エッチング化学作用は、材料58について良好な選択性(すなわち、基板56上の他の材料をエッチングするより迅速に材料58をエッチングする)を有し、可能であれば、良好な異方性(すなわち、材料58の垂直表面をエッチングするより迅速に材料58の水平表面をエッチングする)を有するように選択されることが好ましい。さらに、エッチング化学作用は、材料58以外の基板上の材料を実質的にはエッチングしないように選択されることが好ましい。このようにして、エッチング化学作用は、エッチング化学作用に暴露される基板またはフィーチャの他の材料を損傷しない。さらに、エッチングの選択性は、電子ビームの1つまたは複数のパラメータを変更することによって変更することができる。
【0065】
図9に示されるように、電子ビーム64と組み合わされた化学エッチングは、欠陥54に近接する材料58の一部66を除去する。図9に示されるように、欠陥の近くの材料の残りの部分は、欠陥54の下面にほぼ同じ面の上面68を有する。しかし、他の実施形態では、欠陥の近くの材料の一部は、上面68が欠陥の最下面より下にあるように「過剰除去」または「過剰エッチング」することが可能である。欠陥の近くの材料が除去される深さは、例えば、欠陥について実施される分析に応じて変更することが可能である。
【0066】
図10に示されるように、欠陥54に近接する除去される材料58の一部66は欠陥54を横方向で囲む。このようにして、除去される材料の一部は、欠陥が存在する領域を有する。したがって、欠陥のすべての側面が、欠陥に対して様々な角度から欠陥の分析を実施できるように、除層後に暴露される。一実施形態では、除去される材料の一部は、約10μm×約10μm以下の面積を有する。したがって、材料が除去される基板上の面積は、具体的には、他の除層プロセスによって通常除去される材料の量と比較するとき、比較的小さい。このようにして、本明細書において記述される方法は、プロダクト・ウェハについて実施することが可能であるが、その理由は、ほとんどの場合、プロダクト・ウェハの上のそのような小さい領域から材料を除去することは、全体としてプロダクト・ウェハに悪影響を与えないはずであるからである。
【0067】
除去される材料の一部の面積は、例えば、電子ビームによって照射される基板上の面積に応じて変更することが可能である。例えば、本明細書において記述される方法およびシステムでは、エッチングは、電子ビームと組み合わされているエッチャント・ガスが存在する場合のみ行われる。このようにして、電子ビームの直径、したがって除去される材料の面積は、例えば、欠陥の側方寸法、除去について選択される面積、欠陥について実施される分析、除去される材料の特徴、および/または基板の特徴に応じて変更することが可能である。1つの特定の例では、除去される材料の面積は、分析が首尾よく達成されるように欠陥の回りで十分に材料を除去しながら、最小限に維持されることが好ましい(存在するとすれば隣接構造の損傷または破壊を回避するために)。
【0068】
本方法は、欠陥の特徴を決定するために、欠陥54を分析することを含む。決定される欠陥の特徴は、寸法(高さおよび幅)、プロファイル、組成、粗さなど、対象とすることが可能である任意の特徴を含む。したがって、決定される欠陥の特徴は、どのような分析が欠陥について実施されるかを決定することが可能である。一実施形態では、欠陥を分析することは、電子ビームを使用して実施することが可能である。1つの特定の実施形態では、図11に示されるように、欠陥54に近接する材料56の一部66を除去するために使用された電子ビーム64を、欠陥54を分析するためにも使用することが可能である。材料の一部を除去するために使用される電子ビームのパラメータは、欠陥を分析するために使用されるパラメータとは異なることが可能である。1つのそのような実施形態では、電子ビームは、走査電子顕微鏡法などの技法を使用して欠陥を撮像するために使用することが可能である。次いで、欠陥の像は、欠陥を再調査する、または欠陥の特徴を決定するために使用される。他の実施形態では、電子ビーム64を、材料が除去される際に欠陥を撮像するために使用することが可能である。このようにして、欠陥と除層プロセスは、可能であれば実時間で、監視し、記録することができ、これにより、欠陥、欠陥の近くの材料、除層プロセスについてさらに情報を提供することが可能である。そのような情報は、プロセスの終点を決定する、および/または除層プロセスを最適化するために使用することも可能である。
【0069】
他の実施形態では、エネルギー分散x線顕微鏡法(EDXまたはEDS)あるいはオーガー電子顕微鏡法(AES)などの技法を使用して欠陥の組成を決定するために、電子ビームを使用する。欠陥の組成が決定された後、本明細書において記述される除層方法は、欠陥と周囲膜との間の選択性を最大限にするように変更することが可能である。一般的には、EDX技法では、電子ビームは欠陥の表面に向けられる。欠陥は、向けられた電子ビームに応答して、2次電子と特性x線を放出することが可能である。特性x線は、半導体x線検出器によって検出され、エネルギー分析を受ける。x線スペクトルは、欠陥の組成を決定するために分析される。EDXシステムとその方法の例が、Robinsonらへの米国特許第4559450号、Mizunoへの第6072178号や、Steffanらへの第6084679号において示されており、本明細書において完全に記述されるかのように参照によって組み込まれている。
【0070】
他の実施形態では、x線分析システム(図示せず)が、欠陥の特徴を決定するために使用される。例えば、欠陥の組成は、x線光電子顕微鏡法(XPSまたはESCA)、あるいはx線蛍光顕微鏡法(XRF)などの技法を使用して決定される。他の例では、x線反射(XRR)技法が、欠陥における要素の濃度などの欠陥の特徴を測定するために使用される。x線反射方法とシステムの例が、Komiyaらへの米国特許第5740226号、Komiyaらへの米国特許第6040198号や、Nikoonahadらへの第6633831号において示されており、本明細書において完全に記述されるかのように参照によって組み込まれている。x線分析システムを、これらの特許において記載されているように構成させることが可能である。
【0071】
他の実施形態では、欠陥の分析を、当技術分野において既知のあらゆる他の分析技法を使用して実施可能である。例えば、欠陥を、2次イオン質量分光法(SIMS)を使用して分析することが可能である。SIMSは、一般に、サンプルの表面からイオンをスパッタリングし、スパッタリングしたイオンを質量分光法によって分析することによって、材料をサンプルから除去する。SIMS技法の例が、Criegernらへの米国特許第4645929号、Naitoへの第4912326号、Maulらへの第60780445号、Benninghovenらへの第6107629号において示されており、本明細書において完全に記述されるかのように参照によって組み込まれている。分析システムは、これらの特許において記載されているように構成することが可能である。
【0072】
図12〜14は、分析のために基板を準備する方法の他の実施形態を示す。図12に示されるように、欠陥70が基板72の上に形成されている。基板72は上述された基板のいずれかを含む。この例では、材料74、76が基板72の上に形成されている。材料74、76は、当技術分野において既知の任意のプロセス(例えば、堆積、めっきなど)、またはプロセスの組合せ(例えば、堆積および化学機械研磨)を使用して、基板72の上に形成されている。
【0073】
図12に示されるように、材料76は材料74の下に形成される。材料74、76は、フォトレジスト、導体材料、または絶縁材料など、当技術分野において既知の任意の材料を含む。材料74、76は異なる材料である。すなわち、材料74、76は異なる組成を有する。例えば、材料74を絶縁材料とし、材料76を導体材料とすることが可能である。代替として、材料74を絶縁材料の1つのタイプとすることが可能であり、材料76を絶縁材料の他のタイプとすることが可能である。2つの材料のみが図12〜14において基板72の上に形成されて示されているが、多くの材料が本明細書において記述される基板の上に形成されることが可能であることを理解されたい。材料は、図12〜14に示されるようにパターン化されなくても、上述されたようにパターン化されてもよい。
【0074】
図12に示されるように、欠陥70は表面下欠陥である。すなわち、欠陥70は、材料74の上面78より完全に下に位置する。しかし、本明細書において記述される方法およびシステムは、部分的表面下欠陥(図8に示され、上記においてさらに記述されているような欠陥)または表面欠陥(すなわち、基板の上面より下にはない欠陥)を含む基板について実施することも可能である。欠陥70は粒子欠陥として示されているが、本明細書において記述される方法およびシステムは、任意のタイプの欠陥を有する基板について使用することが可能であることを理解されたい。
【0075】
図13に示されるように、本方法は、電子ビーム(図示せず)と組み合わせて化学エッチングを使用して、欠陥70に近接する材料74の一部80を除去する。化学エッチングによって、基板72をエッチング化学作用(図示せず)に暴露させる。エッチング化学作用は、本明細書において記述されるエッチング化学作用のいずれかを含む。さらに、エッチングの選択は、エッチング化学作用の1つまたは複数のパラメータ、および/または電子ビームの1つまたは複数のパラメータを変化させることによって行われる。図13に示されるように、欠陥の近くの材料74の一部80は、材料76の上面82を暴露させるように完全に除去することが可能である。図13にさらに示されるように、材料74の一部80を除去することは、部分的に暴露された欠陥70のみを有する。したがって、いくつかの実施形態では、図14に示されるように、本方法は、電子ビーム(図示せず)と組み合わせて化学エッチングを使用して、欠陥70に近接する材料76の一部84を除去することを含んでもよい。
【0076】
材料74、76は異なるタイプの材料であるので、化学エッチングと電子ビームのパラメータは、材料74の一部の除去と材料76の一部の除去では異なることがある。例えば、異なるエッチング化学作用を、材料74、76を除去するために使用することが可能である。一例では、フッ素ベース・エッチング化学作用が材料74を除去するために使用され、塩素ベース・エッチング化学作用が材料76を除去するために使用されることが可能である。具体的には、エッチング化学作用は、材料の組成や特徴に基づいて、材料のそれぞれを除去するために選択される。1つのエッチング室内で実施することができる1つのエッチング・プロセスの異なるステップにおいて、異なる材料の部分を除去することも可能であれば好ましい。さらに、異なる材料の部分を、同じ電子ビームを使用して異なるステップで除去することが可能である。電子ビームの1つまたは複数のパラメータを、電子ビームが異なる材料の両方の除去について最適化されるように、除去ステップ間において変更することができる。しかし、いくつかの例では、可能であれば同じエッチング器具の異なるエッチング室において実施される異なるエッチング・プロセスにおいて、異なる材料の部分の除去を実施することが可能であることを理解されたい。明らかに、そのようなエッチング・プロセスは、異なる電子ビームで実施され、これにより、異なる材料の除去について最適化されるパラメータを有することも可能である。
【0077】
図14に示されるように、欠陥の近くの材料の残りの部分は、欠陥70の下面とほぼ同じ面の上面86を有する。しかし、他の実施形態では、欠陥の近くの材料76の一部84は、上面86が欠陥の最下面より下にあるように「過剰除去」または「過剰エッチング」することが可能である。欠陥の近くの材料が除去される深さは、例えば、欠陥について実施される分析に応じて変更することが可能である。
【0078】
上記においてさらに記述されているように、欠陥70に近接する除去される材料74、76の一部80、84は欠陥70を横方向で囲む。このようにして、除去される材料の一部は、欠陥が存在する領域を有する。したがって、欠陥に対して様々な角度から欠陥の分析を実施できるように、材料の一部が除去された後に欠陥のすべての側面が暴露される。一実施形態では、除去される材料の一部は約10μm×約10μm以下の面積を有する。したがって、材料が除去される基板上の面積は、具体的には、他の除層プロセスによって通常除去される材料の量と比較すると、比較的小さい。このようにして、本明細書において記述される方法は、プロダクト・ウェハについて実施することが可能であるが、その理由は、ほとんどの場合、プロダクト・ウェハの上のそのような小さい領域から材料を除去することは、全体としてプロダクト・ウェハに悪影響を与えないはずだからである。除去される材料の一部の面積を、例えば、上述されたように、電子ビームによって照射される基板上の面積に応じて変更することが可能である。
【0079】
図12〜14に示される方法は、欠陥の特徴を決定するために欠陥70を分析することを含んでもよい。決定される欠陥の特徴は上述された特徴のいずれかを含む。さらに、欠陥の分析は上述された分析のいずれかを含む。
【0080】
図15は、分析のために基板を準備するように構成されたシステムの一実施形態を示す。本システムは化学供給サブシステム88を含む。化学供給サブシステム88は、1つまたは複数の化学物質(図示せず)を基板90に供給するように構成される。すなわち、化学物質供給サブシステムは、基板90がステージ94の上に配置されるプロセス室92に1つまたは複数の化学物質を供給するように構成される。1つまたは複数の化学物質は、上述された化学物質のいずれかを含む。例えば、1つまたは複数の化学物質は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、酸素ベース化学作用、または当技術分野において既知のあらゆる他のエッチング化学作用を含む。
【0081】
化学物質供給サブシステム88は、気体ソース96(唯1つが図15に示される)、気体ソース96に結合された管98、管98に結合されたバルブ100、ディスペンサ102を含む。1つまたは複数の化学物質は、気体ソース96から管98とバルブ100を経てディスペンサ102に流れる。ディスペンサにより、好ましくは制御可能な方式で、1つまたは複数の化学物質はプロセス室92の中に解放される。気体ソース、管、バルブ、ディスペンサは、当技術分野において既知の任意のそのような適切な構成要素を含む。化学物質供給サブシステムは、当技術分野において既知の多くの他の構成要素を含んでもよい。さらに、化学物質供給サブシステムは、当技術分野において既知の任意の構成を有することが可能である。化学物質供給サブシステムの追加の例が、Chengらへの第4842683号、Hanawaへの第5614060号、Riceらへの第5770099号、Maydanらへの第5882165号、Mintzらへの第5849136号、Cruseへの第5910011号、Topracらへの第5926690号、Levyへの第5976310号、Koshiishiらへの第6072147号、Imafukuらへの第6074518号、Ashtianiらへの第6083363号、Suemasaらへの第6089181号、Araiらへの第6110287号、Nikoonahadらへの第6633831号において示されており、本明細書において完全に記述されるかのように参照によって組み込まれている。
【0082】
化学物質供給サブシステム88、プロセス室92、ステージ94は、これらの特許において記載されているようにさらに構成することが可能である。例えば、プロセス室92は圧力ゲージ104を含む。圧力ゲージ104はプロセス室内の圧力を測定する。圧力ゲージは、伝送媒体108によってプロセッサ106に結合されている。伝送媒体108は当技術分野において既知の任意の適切な伝送媒体を含む。さらに、伝送媒体は、「有線」部分や「無線」部分を含む。プロセッサ106は、圧力ゲージ104によって測定された圧力に応じて、システムの1つまたは複数のパラメータを変更するように構成される。同様に、プロセッサ106は、システムの他の構成要素(例えば、バルブ100)に結合され、室92において実施されているプロセスに応じてシステムの他のパラメータを変更するように構成されることも可能である。
【0083】
システムは電子供給サブシステム110をも含む。電子供給サブシステム110は、電子ビーム(図示せず)を基板90に供給するように構成される。電子供給サブシステムは、本明細書において記述されるようにさらに構成させることが可能である。電子供給サブシステム110によって供給された電子ビームと組み合わされている化学物質供給サブシステム88によって供給される1つまたは複数の化学物質は、欠陥の近くの基板上の材料の一部を除去する。電子ビームと組み合わされている1つまたは複数の化学物質は、図8〜10や12〜14に示されるように、1つまたは複数の材料の一部を除去することが可能である。材料と電子ビームと組み合わされている1つまたは複数の化学物質との間の反応の副産物が基板から吸収される。本システムは、プロセス室に結合される1つまたは複数のポンプ(図示せず)を含む。1つまたは複数のポンプは、プロセス室からそのような副産物を除去し、それにより、副産物が基板上の他の領域の上に堆積する可能性がある確率を下げる。ポンプは、当技術分野において既知の任意の適切なポンプとすることが可能である。
【0084】
欠陥、基板、材料、除去される材料の一部は、上述されたもののいずれかを含む。例えば、一実施形態では、欠陥は、表面下欠陥または部分的表面下欠陥である。代替として、欠陥は表面欠陥であることもある。さらに、除去される材料の一部は、約10μm×約10μm以下の面積である。さらに、除去される材料の面積は比較的小さいので、基板は、プロダクト・ウェハとすることが可能である。しかし、基板は、本明細書において記述されるあらゆる他の基板を含む。
【0085】
いくつかの実施形態では、電子供給サブシステム100によって供給された電子ビームと組み合わされている化学物質供給サブシステム88によって供給される1つまたは複数の化学物質は、図12〜14に示されるように、欠陥に隣接する基板上の追加の材料の一部を除去する。図12〜14にさらに示されるように、追加の材料(例えば、材料76)は、材料(例えば、材料74)とは異なり、その材料の下に形成されている。
【0086】
図15に示されるシステムは、基板上の欠陥の特徴を測定するように構成される分析サブシステムを含んでもよい。分析サブシステムは、本明細書において記述される分析技法の1つを実施するように構成されている。分析サブシステムは、欠陥の組成、または本明細書において記述される他の特徴のいずれかを決定するように構成される。
【0087】
一実施形態では、電子供給サブシステム110を、電子ビームを使用して欠陥の特徴を測定するように構成することが可能である。除去に使用される電子ビームのパラメータは、測定に使用される電子ビームのパラメータとは異なることが可能である。電子ビームのパラメータは、電子供給サブシステムの1つまたは複数のパラメータを変更することによって、除去と測定との間において変更される。電子供給サブシステムのパラメータを、いくつかの実施形態ではプロセッサ106によって変更または制御することが可能である。
【0088】
一実施形態では、電子供給サブシステム110を、走査電子顕微鏡法などの技法を使用して欠陥を撮像するように構成することが可能である。他の実施形態では、電子供給サブシステム110は、材料が除去される際に欠陥を撮像するために使用される。このようにして、欠陥と除層プロセスを監視し、記録することができ、これにより、欠陥、欠陥の近くの材料、除層プロセスに関してさらなる情報を提供することが可能である。そのような情報は、除層プロセスを最適化するために使用することが可能である。さらに、そのような情報は、除層プロセスが実施されている際に(すなわち、実時間で)除層プロセスを制御するために使用される。
【0089】
他の例では、電子供給サブシステム110を、上記においてさらに記述されているEDXなどの技法を使用して欠陥の組成を決定するように構成することが可能である。このようにして、電子供給サブシステム110は、分析サブシステムとして機能するように構成される。異なる実施形態では、分析サブシステムは、上述されたもの、または当技術分野において既知のもののいずれかなどのx線分析システム(図示せず)を含む。分析サブシステムを、図15に示されるシステムに任意の方式で結合することが可能である。例えば、分析サブシステムと図15に示されるシステムは、共通プロセッサ、共通基板ハンドラ、共通電力ソース、伝送媒体などによって結合されて、1つのハウジングに配置することが可能である。図15に示されるシステムの実施形態は、本明細書において記述されるようにさらに構成することが可能である。
【0090】
上述された方法およびシステムでは、除層は、基板表面において電子と注入エッチャント・ガスと組み合わされて達成される。そのような実施形態では、除層の選択性は、エッチャント・ガスと電子ビームの設定を調節することによって得られるエッチング率によってほぼ決定される。電子ビーム補助化学エッチングを使用する除層は、主に入射電子ビームの効果から、垂直表面より水平表面の優先的エッチングを提供する高度に有効な除層方法であるが、基板の加熱は、基板の表面における反応副産物の脱着を加速することによって、エッチングをさらに加速することができる。例えば、本明細書においてさらに記述されるように、電子とエッチャント・ガスの反応を補助するために光を使用して基板表面を加熱することができる。
【0091】
一実施形態では、電子ビームと同軸状に位置合わせされる光ビームが、基板表面を加熱することによってエッチング反応を補助するために使用される。具体的には、同軸状光ビームは、基板上の電子ビームの効果を向上させることによって、水平表面の優先的エッチングを増幅する。例えば、電子ビームと同軸状に光ビームを位置合わせすることによって、光ビームは水平表面を優先的に加熱することができる。すなわち、同軸状光ビームは、基板上の水平表面を加熱し、垂直表面を実質的には加熱しない。この差別的表面加熱は、基板表面の側方に対する垂直のエッチングを加速するために使用される。具体的には、エッチャント・ガスは、電子と光の両方によって照射される水平表面を優先的にエッチングする。このようにして、上述された除層プロセスに光を追加することにより、除層プロセスの異方性を増大させることができる。そのような追加の異方性は有利である可能性があるが、その理由は、デバイス・フィーチャまたはあらゆる他の3次元フィーチャの元のアスペクト比を維持しながらデバイス膜を除去する能力は、欠陥の再調査や分析において必須であるからである。
【0092】
さらに、光ビームの波長を選択することによって、基板上の異なる材料を差別的かつ優先的に加熱することができる。例えば、光ソースを、基板から除去される材料によって吸収される波長を光が有するように選択することが可能である。このようにして、波長は、その波長の光を吸収する材料を優先的に加熱するように選択される。したがって、異なる材料間の選択性を最大限にするように波長を選択することができる。そのような加熱は、接点、キャパシタ、または同時に除層されている2つ以上の材料を含む基板上の他の3次元フィーチャの除層中であることが特に望ましい。
【0093】
図16〜17は、分析のために基板を準備する方法の一実施形態を示す。図16に示されるように、欠陥112が、基板114の上に形成される。基板114は、上述された基板のいずれかを含む。この例では、材料116が基板114の上に形成されている。材料116は、フォトレジスト、導体材料、または絶縁材料など、当技術分野において既知の任意の材料を含む。図16〜17では、唯一の材料が基板114の上に示されているが、多くの材料が、本明細書において記述される基板上に形成されてもよいことを理解されたい。材料のいくつかは、図16〜17に示されるようにパターン化されなくても、上述されたようにパターン化されてもよい。図16に示されるように、欠陥112は、部分的表面下欠陥である。すなわち、欠陥112の一部は、材料116の上面118より下に位置する。しかし、本明細書において記述される方法およびシステムは、完全表面下欠陥(図12に示されるような欠陥)または表面欠陥を含む基板について実施することも可能である。さらに、欠陥112は粒子欠陥として示されているが、欠陥は、当技術分野において既知の任意のタイプの欠陥とすることが可能であることを理解されたい。
【0094】
図16に示されるように、材料116の一部が、電子ビーム120と光ビーム122と組み合わされた化学エッチングに暴露される。化学エッチングは、基板114をエッチング化学作用124に暴露させることを含む。いくつかの実施形態では、エッチング化学作用は、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を含む。これらのエッチング化学作用は1つまたは複数の化学物質を含む。多くのそのような化学作用は、当技術分野において既知であり、エッチング化学作用は、そのような化学作用を含む。
【0095】
エッチング化学作用の選択は、例えば材料116の組成、欠陥112の組成、エッチング化学作用に暴露させることが可能である基板上のあらゆる他の材料の組成に応じて変えられる。例えば、エッチング化学作用は、欠陥を実質的には変更しない、またはエッチングしないように選択されることが好ましいが、具体的にはその理由は、欠陥は、本明細書においてさらに記述されるように、除層後に分析されるからである。さらに、エッチング化学作用は、材料116について良好な選択性を有し(すなわち、基板114上の他の材料をエッチングするより迅速に材料116をエッチングする)、可能であれば、良好な異方性を有する(すなわち、材料116の垂直表面をエッチングするより迅速に材料116の水平表面をエッチングする)ように選択されることが好ましい。さらに、エッチング化学作用は、材料116以外の基板上の材料を実質的にはエッチングしないように選択されることが好ましい。このようにして、エッチング化学作用は、エッチング化学作用に暴露される基板または他の材料もしくはフィーチャを損傷しない。さらに、除層プロセスの選択性は、電子ビームの1つまたは複数のパラメータおよび/または光ビームの1つまたは複数のパラメータを変化させることによって変えられる。
【0096】
図16に示されるように、電子ビーム120は光ビーム122と同軸状に基板114に供給される。さらに、電子ビーム120の直径は、光ビーム122の直径より大きいように図16では示されているが、光ビーム122の直径は、電子ビーム120の直径にほぼ等しいか、またはそれ以上でよいことを理解されたい。光ビーム122は、レーザ(図示せず)によって生成することが可能である。しかし、光ビームは、当技術分野において既知のあらゆる他の適切な光ソースによって生成される。一般的に、動作波長において比較的明るい光ソースが、本明細書において記述される方法において特に有用である。適切なレーザの一例が、100mW領域のQ切替えレーザである。他の適切なレーザは、Ti−サファイア・レーザとすることが可能である。光ソースは、単一波長レーザまたは複数波長レーザとすることも可能である。さらに、光ビームは、2つ以上の光ソースを使用して生成することも可能である。例えば、いくつかのレーザからの光が、コンバイナで光学列に組み合わされることが可能である。他の例では、異なる光ビームが、異なるレーザによって生成されることが可能であり、基板に供給される光ビームは、除去される材料に応じて変更することが可能である。このようにして、異なる光ビームのすべてが、同時に基板に供給される訳ではない。
【0097】
光ビーム122の波長は除去される材料に応じて変化する。例えば、光ビーム122の波長は、材料によって光を吸収することができるように選択する。このようにして、光ビームは、上述されたように材料を加熱することが可能である。さらに、光ビームは、光の1つの波長(例えば、単色光)、ほぼ1つの波長(例えば、ほぼ単色の光)、または2つ以上の波長(例えば、多色光または広帯域光)を有することが可能である。適切な波長の例には、約1054nm(近赤外線)、約527nm(可視、緑)、約350nm(近紫外線)、約266nm(紫外線)があるが、これに限定されるものではない。一般的に、適切な波長は、約266nmから約1054nmの任意の波長を含む。
【0098】
異なる波長を有する光が同時にまたは順次基板に供給されると、光の各波長は、他を加熱するより基板上の特定の材料を多く加熱する。したがって、材料が基板から除去される際、基板に供給される光の波長を変える。例えば、基板上の材料の一部が除去された後、材料の下に形成されている異なる材料が、少なくとも光ビームについて異なるパラメータを有する電子ビームや光ビームと組み合わされた化学エッチングを使用して除去される。このようにして、基板上の2つ以上の材料の一部を除去することは、光ビームで各材料を差別的に加熱することを含む。同様にして、2つ以上の材料が基板から除去されるとき、エッチング化学作用および/または電子ビームのパラメータを、異なる材料が除去されるとき変えることが可能である。このようにして、除層プロセスにおいて使用される各構成要素のパラメータは、基板上の材料の除去について最適化することが可能である。さらに、除層プロセスにおいて使用される各構成要素のパラメータは、除層プロセスの選択性を最大限にするように変えることが可能である。具体的には、パラメータは、欠陥の組成、材料の組成、いくつかの場合では基板の組成に応じて変えることが可能である。除層プロセスにおいて使用されるエッチング化学作用、電子ビーム、光ビームのパラメータは、材料の除去を最大限に維持しながら、欠陥の除去を最小限に抑えるように最適化されることが好ましい。
【0099】
上記においてさらに記述されているように、光ビーム122は、基板114上の材料116を優先的に加熱する。エッチング化学作用124と電子ビーム120が存在する状態で材料116を光ビーム122で加熱することにより、材料116の水平表面の優先的エッチングが強化される。具体的には、光ビーム122を電子ビーム120と同軸状に基板114に供給することによって、材料の垂直表面を実質的には加熱せずに、材料の水平表面を加熱することができる。このようにして、電子ビームと光ビーム補助化学エッチングは、ほぼ異方性とすることができる。その結果、本明細書において記述される除層方法は、デバイス・フィーチャまたは基板上のあらゆる他の3次元フィーチャの元のアスペクト比を維持しながら、デバイス膜を除去する能力を提供し、これは、欠陥の再調査および分析において必須である。
【0100】
図17に示されるように、電子ビーム120と光ビーム122と組み合わされた化学エッチングは、欠陥112に近接する材料116の一部126を除去する。図17にさらに示されるように、欠陥の近くの材料の残りの部分は、欠陥112の下面とほぼ同じ面の上面128を有する。しかし、他の実施形態では、欠陥の近くの材料の一部は、上面128が欠陥112の最下面より下にあるように、「過剰除去」または「過剰エッチング」することが可能である。材料が除去される深さは、例えば、欠陥について実施される分析に応じて変えることが可能である。
【0101】
上記においてさらに記述されているように、欠陥112に近接する除去される材料116の一部126は、欠陥112を横方向で囲む。このようにして、除去される材料の一部は欠陥が存在する領域を有する。したがって、欠陥のすべての側面が、欠陥の分析を様々な角度から実施できるように、材料の一部が除去された後に暴露される。一実施形態では、除去される材料の一部は、約10μm×約10μm以下の面積を有する。したがって、材料が除去される基板上の面積は、具体的には、他の除層プロセスによって通常除去される材料の量と比較するとき、相対的に小さい。このようにして、本明細書において記述される方法は、プロダクト・ウェハについて実施することが可能であるが、その理由は、ほとんどの場合、プロダクト・ウェハの上のそのような小さい領域から材料を除去することは、全体としてプロダクト・ウェハに悪影響を与えないはずであるからである。
【0102】
除去される材料の一部の面積は、例えば、電子ビームと光ビームによって照射される基板上の領域に応じて変えることが可能である。例えば、本明細書において記述される方法およびシステムでは、エッチングは、電子ビームと組み合わせてエッチャント・ガスが存在する状態でのみ行われる。このようにして、電子ビームの直径を、例えば、欠陥の側方寸法、除去について選択される領域、欠陥について実施される分析、除去される材料の特徴、および/または基板の特徴に応じて、変えることが可能である。さらに、除去される材料の一部の面積を、例えば、光ビームによって照射される基板上の面積に応じて変えることも可能である。光ビームによって照射される基板上の面積を、当技術分野において既知の任意の方法またはデバイスを使用して変えることが可能である。1つの特定の例では、除去される材料の面積は、分析が首尾よく達成されるように欠陥の回りにおいて十分な空間を与えながら、最小限に維持されることが好ましい(存在するとすれば、隣接構造を損傷または破壊することを回避するために)。
【0103】
本方法は、欠陥112を分析して、欠陥の特徴を決定することをも含む。決定される欠陥の特徴は、寸法(幅および高さ)、プロファイル、組成、粗さなど、対象とすることが可能である任意の特徴を含む。したがって、決定される欠陥の特徴は、どのような分析が欠陥について実施されるかを決定することが可能である。一実施形態では、欠陥を分析することは、欠陥の特徴を決定するために電子ビームを使用して実施することが可能である。1つの特定の実施形態では、図17に示されるように、除去に使用された電子ビーム120が、欠陥112を分析するために使用されてもよい。除去に使用された電子ビームのパラメータは、欠陥を分析するために使用される電子ビームのパラメータとは異なってもよい。
【0104】
1つのそのような実施形態では、電子ビーム120は、走査電子顕微鏡法などの技法を使用して欠陥を撮像するために使用される。次いで、欠陥の像は、欠陥を再調査する、または欠陥の側方寸法などの特徴を決定するために使用される。他の実施形態では、電子ビーム120を、材料が除去される際に欠陥を撮像するために使用することが可能である。このようにして、欠陥と除層プロセスを監視し、記録することができ、これにより、欠陥、欠陥の近くの材料、除層プロセスに関する他の情報を提供することが可能である。情報は、本明細書においてさらに記述されるように、除層プロセスを監視および/または制御するために使用することが可能である。他の実施形態では、電子ビームは、上記においてさらに記述されたように、EDXまたはAESなどの技法を使用して欠陥の組成を決定するために使用される。欠陥の組成が決定された後、本明細書において記述される除層方法を、欠陥と周囲膜との間の選択性を最大限にするために変えることができる。
【0105】
他の実施形態では、x線分析システム(図示せず)が、欠陥の特徴を決定するために使用される。例えば、XPSまたはXRFなどの技法を使用して、組成などの欠陥の特徴を決定することができる。他の例では、XRR技法が、欠陥の要素の濃度などの欠陥の特徴を測定するために使用される。x線分析システムを、上述されたようにさらに構成することが可能である。他の実施形態では、欠陥の分析は、当技術分野において既知のあらゆる他の分析技法を使用して実施できる。例えば、欠陥を、上記において記述されているように、SIMSを使用して分析する。
【0106】
他の実施形態では、欠陥を分析するために光ビーム122を使用する。例えば、光ビーム122を、欠陥を撮像するために使用する。次いで、欠陥の像は、欠陥の1つまたは複数の特徴を決定するために使用される。このようにして決定することができる欠陥の特徴は、欠陥の側方寸法、欠陥の高さなどを含むが、これに限定されるものではない。光ビームのパラメータを除去と分析との間に変えてもよい。例えば、光ビームの波長および/または偏光を、除層後であるが、欠陥の分析が開始される前に変えることが可能である。光ビーム122の他のパラメータを、除去と分析との間で同様に変えることが可能である。他の実施形態では、光ビーム122を、材料が除去される際に欠陥を撮像するために使用することが可能である。このようにして、欠陥と除層プロセスを監視し、記録することができ、これにより、欠陥、欠陥の近くの材料、除層プロセスに関するさらなる情報を提供することが可能である。この情報は、本明細書においてさらに記述されているように、除層プロセスを監視および/または制御するために使用することができる。他の実施形態では、異なる光ビームが、本明細書において記述されるように欠陥を分析するために使用される。この光ビームは、電子ビーム120と同軸でも、同軸状ではないことも可能である。
【0107】
図18は、分析のために基板を準備するように構成されるシステムの一例を示す。この例では、本システムは、電子供給サブシステム130を含む。電子供給サブシステム130は電子カラムとして構成される。電子供給サブシステムは電子ビーム132を基板134に供給するように構成される。図18に示されるように、本システムは、光ビーム136を基板134に供給するようにも構成される。光ビーム136と電子ビーム132は、基板134の上のほぼ同じスポットに供給される。
【0108】
図18に示されるように、光ビーム136は、電子供給サブシステムの対物レンズ138の外部縁に対して接線方向である視射角においてビームを集束させることによって基板に供給される。このようにして、光ビームは、電子ビームに関して軸はずれである。すなわち、光ビームは、電子ビームと同軸状には基板に供給されない。この構成により、光ビームは、電子カラムを修正せずに、基板の上に集束することが可能になる。しかし、光ビームは視射角において集束されるので(垂直から約55°)、電子ビーム焦点、光ビーム焦点、基板の交差点は、ストライク距離(すなわち、対物レンズと基板との分離)に決定的に依存する。作業距離を変えることにより、レーザ・ビームは、軸上の点を行き過ぎ、または軸上の点に届かず、光ビームを再位置合わせすることが必要になる。さらに、図19に示されるように、光ビーム136は、ある視射角において基板134の上に到達するので、光ビーム136の焦点140は、主直径が約1.74倍に不鮮明になっている楕円であり、一方、電子ビーム132の焦点142は円形である。また、視射角における表面の反射144は、光ビームによってプロセスに供給されるエネルギー量を減少させる。
【0109】
光ビームを電子ビームと同軸状に基板に供給することにより、上記において概述された問題が排除される。図19に示されるように、光ビーム146が電子ビーム132と同軸状に基板134に供給されるとき、両ビームの焦点は円形である。さらに、光ビーム146の焦点はほぼ一様である。したがって、電子ビーム焦点、光ビーム焦点、基板は、対物レンズと基板との分離に決定的には依存しない。このようにして、光ビームの位置合わせは、作業距離に決定的には依存しない。したがって、作業距離の変化は、光ビーム146の再位置合わせを必要としない。したがって、本明細書においてさらに記述されるシステムは、非同軸システムより操作が容易である。さらに、ほぼ垂直角度において光ビーム146を基板134に供給することにより、基板の表面からの光ビームの反射が低減される。その結果、本明細書においてさらに記述されるシステムは、除層プロセスへの光エネルギーの改善された供給を有する。
【0110】
図20、21は、分析のために基板を準備するように構成されたシステムに含む電子供給サブシステムと光供給サブシステムの一実施形態を示す。電子供給サブシステムと光供給サブシステムは、比較的小さいスポット直径に集束された光エネルギーまたはレーザ・エネルギーで電子ビームの視野を照射するように構成される。したがって、本システムは、除去される材料の一部を加熱することによって除層プロセスを改善し、かつ異なる材料を差別的にエッチングするように構成される。図20に示されるように、電子供給サブシステムと光供給サブシステムは、光ソース148を含む。光ソース148は光ビーム150を生成するように構成される。光ビーム150は、光学構成要素152によって、電子供給サブシステムと光供給サブシステムの電子カラムのカラム・ベース156の光学ウィンドウ154に向けられる。光学ウィンドウは、真空ウィンドウとして構成することが可能である。光学ウィンドウは、光ビーム150が電子カラムに入ることを可能にするように構成される。
【0111】
図21に示されるように、電子カラム158が、電子ビーム160を基板(図示せず)に供給するように構成される。光学ウィンドウ154を経て電子カラム158に入った後、光ビーム150は、スポットに集束され(例えば、簡単なレンズ(図示せず)によって)、この像は、レンズ162によってミラー164、最終的には基板に集束される。レンズ162は、集束長が長い転写レンズ、または当技術分野において既知のあらゆる他の適切なレンズとすることが可能である。光ビーム150は、ミラー164から反射される。ミラー164は、45°金属ミラーとすることが可能である。ミラー164は、コンボルビング・レーザ・ミラーとすることも可能である。ミラー164は、ミラーを経て形成されたアパーチャ(図示せず)を有する。アパーチャは、電子ビーム160がアパーチャを通過することを可能にするように構成されることが好ましい。例えば、アパーチャは、ミラーの中心に位置することが可能であり、約1mmの直径を有することが可能である。さらに、ミラーは、電子ビーム160の軸と整列されたアパーチャとともに軸方向に電子カラムにおいて配置されることが好ましい。このようにして、電子ビームは、電子カラムを通り、かつミラー164のアパーチャを通る軸経路に従うことができる。したがって、ミラー164から反射された後、光ビーム150は電子ビーム160と同軸である。このようにして、電子供給サブシステムと光供給サブシステムは、光ビーム150と同軸状に電子ビーム160を基板に供給するように構成される。ミラーの中心にあるアパーチャのために、光ビーム強度がわずかに失われるが(例えば、約5%)、そのような損失は、許容可能であり、電子供給サブシステムと光供給サブシステムの機能を低下させない。
【0112】
図20、21に示される電子供給サブシステムと光供給サブシステムは、1つまたは複数の化学物質を基板に供給するように構成される化学物質供給システム(図示せず)とともにシステムに含まれる。化学物質供給サブシステムを上述されたように構成することが可能である。電子ビーム160と光ビーム150と組み合わされている1つまたは複数の化学物質は、図16、17に示されるように、欠陥の近くの基板上の材料の一部を除去する。上述されたように、欠陥は、表面下欠陥または部分的表面下欠陥である。さらに、欠陥は、表面欠陥であることもある。除去される材料の一部は、上述されたように、約10μm×約10μm以下の面積である。上記においてさらに記述されているように、除去される材料の一部の面積を、例えば、電子ビームのパラメータと光ビームのパラメータに応じて変えることが可能である。いくつかの実施形態では、材料はデバイス膜を含む。そのような実施形態では、電子ビーム160と光ビーム150と組み合わされている1つまたは複数の化学物質は、上記においてさらに記述されているように、基板上のデバイス・フィーチャのアスペクト比を実質的には変更しない。
【0113】
光ソース148はレーザである。しかし、光ソースは、当技術分野において既知のあらゆる他の適切な光ソースとすることが可能である。一般的に、動作波長において比較的明るい光ソースが、本明細書において記述されるシステムにおいて使用するのに特に適切である。適切なレーザの一例が、100mW領域のQ切替えレーザである。他の適切なレーザは、Ti−サファイア・レーザである。光ソースは、単一波長レーザまたは複数波長レーザとすることも可能である。さらに、光ビームは、2つ以上の光ソースを使用して生成することも可能である。例えば、いくつかのレーザからの光が、コンバイナで光学列に組み合わされてもよい。他の例では、異なる光ビームが異なるレーザによって生成されてもよく、基板に供給される光ビームを、除去される材料に応じて変えることが可能である。このようにして、異なる光ビームのすべてが同時に基板に供給される訳ではない。
【0114】
光ビーム150の波長は、除去される材料に応じて変えることが可能である。例えば、除去される材料に応じて、光ビーム150の波長は、光を材料によって吸収することができるように選択される。このようにして、電子供給サブシステムと光供給サブシステムは、光ビーム150が上述されたように基板上の材料を加熱するように構成される。さらに、光ビームは、1つの波長(例えば、単色光)、ほぼ1つの波長(例えば、ほぼ単色の光)、または光の2つ以上の波長(例えば、多色光または広帯域光)を有することが可能である。適切な波長に例には、約1054nm(近赤外線)、約527nm(可視、緑)、約350nm(近紫外線)、約266nm(紫外線)があるが、これに限定されるものではない。一般的には、適切な波長は、約266nmから約1054nmの任意の波長を含む。
【0115】
異なる波長を有する光が同時にまたは順次基板に供給される場合、各波長は、他を加熱するより、特定の材料を多く加熱する。したがって、材料が基板から除去される際、基板に供給される光の波長が変えられる。例えば、基板上の材料の一部が除去された後、材料の下に形成された異なる材料が、少なくとも光ビームについて異なるパラメータを有する電子ビームや光ビームと組み合わされた化学エッチングを使用して除去される。このようにして、電子供給サブシステムと光供給サブシステムは、光ビーム150が光ビームで各材料を差別的に加熱するように構成させることが可能である。同様にして、2つ以上の材料が基板から除去されるとき、エッチング化学作用および/または電子ビームのパラメータは、異なる材料が除去されるときに変えられる。このようにして、除層プロセスにおいて使用される各構成要素のパラメータを、基板上の材料の除去について最適化する。さらに、除層プロセスにおいて使用される各構成要素のパラメータを、除層プロセスの選択性を最大限にするように変えることが可能である。具体的には、パラメータは、欠陥の組成、材料の組成、いくつかの場合には基板の組成に応じて変えることが可能である。除層プロセスにおいて使用されるエッチング化学作用、電子ビーム、光ビームのパラメータは、材料の除去を最大限にしながら、欠陥の除去を最小限に抑えるように最適化されることが好ましい。
【0116】
上記においてさらに記述されているように、光ビーム150は、除去される基板上の材料の一部を優先的に加熱する。エッチング化学作用と電子ビーム160が存在する状態において光ビーム150で材料を加熱することにより、除去される材料の一部の水平表面の優先的エッチングが強化される。具体的には、光ビーム150を電子ビーム160と同軸状に基板に供給することによって、電子供給サブシステムと光供給サブシステムは、光ビーム150が材料の水平表面を加熱し、かつ材料の垂直表面を実質的には加熱しないように構成することが可能である。このようにして、電子と光ビーム補助化学エッチングは、ほぼ異方性とすることができる。その結果、本明細書において記述される除層方法は、デバイス・フィーチャまたは基板上のあらゆる他の3次元フィーチャの元のアスペクト比を維持しながら、デバイス膜を除去する能力を提供し、これは、欠陥の再調査と分析において必須である。
【0117】
図20、21に示される電子供給サブシステムと光供給サブシステムを含むシステムが、分析サブシステム(図示せず)を含んでもよい。分析サブシステムは、基板上の欠陥の特徴を測定するように構成される。欠陥の特徴は、本明細書において記述される欠陥の特徴のいずれかを含む。測定されている欠陥の特徴は、どのような分析が欠陥について実施されるかを決定することが可能である。分析サブシステムを、本明細書において記述される分析技法の1つを実施するように構成することが可能である。
【0118】
一実施形態では、電子供給サブシステムと光供給サブシステムを、電子ビーム160を使用して欠陥の特徴を測定するように構成することが可能である。このようにして、電子供給サブシステムと光供給サブシステムを、分析サブシステムとして機能するように構成することが可能である。例えば、除層に使用された電子ビーム160は、欠陥を分析するために使用することも可能である。除層に使用された電子ビームのパラメータは、欠陥の特徴を測定するために使用される電子ビームのパラメータとは異なることが可能である。電子ビームのパラメータは、電子供給サブシステムと光供給サブシステムの1つまたは複数のパラメータを変えることによって、除去と測定との間に変えることが可能である。電子供給サブシステムと光供給サブシステムのパラメータは、いくつかの実施形態ではプロセッサ(図示せず)によって変更または制御することが可能である。プロセッサを、上述されたようにさらに構成することが可能である。
【0119】
一実施形態では、電子供給サブシステムと光供給サブシステムは、走査電子顕微鏡法などの技法を使用して欠陥を撮像するように構成される。次いで、欠陥の像を、欠陥を再調査するために、または欠陥の側方寸法などの特徴を決定するために使用する。他の実施形態では、電子供給サブシステムと光供給サブシステムを、材料が除去される際に欠陥を撮像するために使用することが可能である。このようにして、欠陥と除層プロセスを監視し、記録することができ、これにより、欠陥、欠陥の近くの材料、除層プロセスに関するさらなる情報を提供することが可能である。この情報は、上述されたように除層プロセスを監視および/または制御するために使用される。他の例では、電子供給サブシステムと光供給サブシステムを、上記においてさらに記述されているEDXなどの技法を使用して欠陥の組成を決定するように構成することが可能である。欠陥の組成が決定された後、本明細書において記述される除層方法を、欠陥と周囲膜との間の選択性を最大限にするように変えることが可能である。
【0120】
他の実施形態では、光ビーム150を、欠陥を分析するために使用する。このようにして、電子供給サブシステムと光供給サブシステムを、光ビーム150および/または電子ビーム160を使用して欠陥を分析するように構成させることが可能である。一例では、光ビーム150を、欠陥を撮像するために使用することが可能である。次いで、欠陥の像は、本明細書においてさらに記述されている特徴など、欠陥の1つまたは複数の特徴を決定するために使用される。光ビームのパラメータを、上述されたように除去と分析との間において変えることが可能である。他の実施形態では、光ビーム150を、材料が除去される際に欠陥を撮像するために使用することが可能である。このようにして、欠陥と除層プロセスを監視し、記録することができ、これにより、欠陥、欠陥に隣接する材料、除層プロセスに関するさらなる情報を提供することが可能である。この情報を、上述されたように使用することも可能である。他の実施形態では、欠陥の分析は、電子ビームと同軸状であっても、同軸状でなくてもよい異なる光ビーム(図示せず)を使用して実施することが可能である。
【0121】
他の実施形態では、分析サブシステムは、上述されたもの、または当技術分野において既知のもののいずれかなどのx線分析システムを含む。他の実施形態では、欠陥の分析は、当技術分野において既知のあらゆる他の分析技法を使用して実施することが可能である。例えば、欠陥を、上記においてさらに記述されているように、SIMSを使用して分析することが可能である。分析システムは、任意の方式でシステムに結合することが可能である。例えば、分析システムと本システムは、共通のプロセッサ、共通の基板ハンドラ、共通の電力ソース、伝送媒体などによって結合されて、1つのハウジングに配置されるようにしてもよいる。図20、21に示される電子供給サブシステムと光供給サブシステムの実施形態は、本明細書において記述されるようにさらに構成することが可能である。
【0122】
本発明の様々な態様のさらなる修正および代替実施形態が、本記述を考慮することにより当業者には明らかになることが可能である。例えば、基板の特徴を測定する、または分析のために基板を準備する方法およびシステムが提供される。したがって、本記述は、例示としてのみ解釈されるべきであり、本発明を実施する一般的な方式を当業者に教示することを目的とする。本明細書において示され、かつ記述された本発明の形態は、現在好ましい実施形態として取られるべきであることを理解されたい。要素および材料が、本明細書において示され、記述された要素および材料の代用とされることが可能であり、部分およびプロセスを反対にすることが可能であり、本発明のあるフィーチャを独立に使用することが可能であり、すべて、本発明の本記述の利益を有した後では当業者には明らかであろう。特許請求の範囲に記載されている本発明の精神および範囲から逸脱せずに、本明細書において記述されている要素について変更を実施することが可能である。
【図面の簡単な説明】
【0123】
【図1】電子ビームに暴露される基板上のフィーチャの部分透視図を示す概略図である。
【図2】フィーチャの残りの部分の断面プロファイルを暴露させるために、フィーチャの一部が電子ビームによって除去される、図1の基板の部分透視図を示す概略図である。
【図3】フィーチャの一部が電子ビームによって除去され、それによりフィーチャの残りの部分の断面プロファイルを暴露させる、他のフィーチャの部分透視図を示す概略図である。
【図4】基板の特徴を測定するように構成されたシステムの異なる実施形態の側面図を示す概略図である。
【図5】基板の特徴を測定するように構成されたシステムの異なる実施形態の側面図を示す概略図である。
【図6】基板の特徴を測定するように構成されたシステムの異なる実施形態の側面図を示す概略図である。
【図7】基板の特徴を測定するように構成されたシステムの異なる実施形態の側面図を示す概略図である。
【図8】電子ビームと組み合わされた化学エッチングに暴露される基板上の欠陥の部分断面図を示す概略図である。
【図9】欠陥の近くの基板上の材料の一部が除去される、図8の基板の部分断面図を示す概略図である。
【図10】図9の基板の部分上面図を示す概略図である。
【図11】図9の基板の部分断面図および欠陥の特徴を決定するために使用される電子ビームを示す概略図である。
【図12】基板上の欠陥の部分断面図を示す概略図である。
【図13】欠陥の近くの基板上の材料の一部が除去される、図12の基板の部分断面図を示す概略図である。
【図14】欠陥の近くの基板上の追加の材料の一部が除去される、図13の基板の部分断面図を示す概略図である。
【図15】分析のために基板を準備するように構成されたシステムの一実施形態の側面図を示す概略図である。
【図16】電子ビームや光ビームと組み合わされた化学エッチングに暴露される基板上の欠陥の部分断面図を示す概略図である。
【図17】欠陥の近くの基板上の材料の一部が除去された基板上の欠陥の部分断面図、および欠陥の特徴を決定するために使用することが可能である電子ビームと光ビームを示す概略図である。
【図18】分析のために基板を準備するように構成されたシステムの部分断面図を示す概略図である。
【図19】軸はずれおよび同軸状のレーザ供給による基板上の焦点の側面図を示す概略図である。
【図20】分析のために基板を準備するように構成されたシステムの一部の一実施形態の透視上面図を示す概略図である。
【図21】分析のために基板を準備するように構成されたシステムの一部の一実施形態の部分断面図を示す概略図である。

【特許請求の範囲】
【請求項1】
基板の特徴を測定する方法であって、
前記基板上のフィーチャの一部を除去して、前記フィーチャの残りの部分の断面プロファイルを暴露させることと、
前記フィーチャの前記残りの部分の前記断面プロファイルの特徴を測定することとを備える方法。
【請求項2】
前記除去することが、前記フィーチャの前記残りの部分を実質的には変形しない請求項1に記載の方法。
【請求項3】
除去される前記フィーチャの前記一部が、電子ビームによって照射される前記フィーチャの領域にほぼ限定される請求項1に記載の方法。
【請求項4】
前記測定することが、電子ビームを使用して実施される請求項1に記載の方法。
【請求項5】
前記測定することが、前記電子ビームを使用して実施され、前記除去と前記測定との間で前記基板を前記電子ビームに対して傾斜させることをさらに備える請求項1に記載の方法。
【請求項6】
前記測定することが、異なる電子ビームを使用して実施され、前記異なる電子ビームが前記基板に対して所定の傾斜位置において構成される請求項1に記載の方法。
【請求項7】
前記フィーチャが、フォトレジスト・フィーチャからなる請求項1に記載の方法。
【請求項8】
前記断面プロファイルの前記特徴が、前記フィーチャの3次元特徴からなる請求項1に記載の方法。
【請求項9】
前記基板がプロダクト・ウェハである請求項1に記載の方法。
【請求項10】
基板の特徴を測定するように構成されるシステムであって、1つまたは複数の電子ビームを前記基板に供給するように構成された電子供給サブシステムを備え、前記1つまたは複数の電子ビームが前記基板上のフィーチャの一部を除去して、前記フィーチャの残りの部分の断面プロファイルを暴露させ、前記1つまたは複数の電子ビームが前記フィーチャの前記残りの部分の前記断面プロファイルの特徴を測定することを特徴とするシステム。
【請求項11】
電子ビームと組み合わせて化学エッチングを使用して、欠陥の近くの前記基板上の材料の一部を除去することを備える、分析のために基板を準備する方法。
【請求項12】
前記欠陥が、表面下欠陥または部分的表面下欠陥である請求項11に記載の方法。
【請求項13】
除去される前記材料の前記一部が約10μm×約10μm以下の面積を有する請求項11に記載の方法。
【請求項14】
前記電子ビームと組み合わせて化学エッチングを使用して、前記欠陥の近くの前記基板上の追加の材料の一部を除去することをさらに備え、前記追加の材料が、前記材料とは異なり、前記追加の材料が、前記材料の下に形成されている請求項11に記載の方法。
【請求項15】
前記欠陥の特徴を決定するために、前記電子ビームを使用して前記欠陥を分析することをさらに備える請求項11に記載の方法。
【請求項16】
前記欠陥の特徴を決定するために、x線分析システムを使用して前記欠陥を分析することをさらに備える請求項11に記載の方法。
【請求項17】
前記欠陥の特徴を決定するために、前記欠陥を分析することをさらに備え、前記欠陥の前記特徴が組成物からなる請求項11に記載の方法。
【請求項18】
前記化学エッチングが、前記基板をエッチング化学作用に暴露させることを備え、前記エッチング化学作用が、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用からなる請求項11に記載の方法。
【請求項19】
前記基板がプロダクト・ウェハである請求項11に記載の方法。
【請求項20】
分析のために基板を準備するように構成されるシステムであって、
1つまたは複数の化学物質を基板に供給するように構成された化学物質供給サブシステムと、
電子ビームを前記基板に供給するように構成された電子供給サブシステムであって、前記電子ビームと組み合わされて、前記1つまたは複数の化学物質が欠陥の近くの前記基板上の材料の一部を除去する、前記電子供給サブシステムとを備えるシステム。
【請求項21】
前記電子供給サブシステムが、前記電子ビームを使用して前記欠陥の特徴を測定するようにさらに構成される請求項20に記載のシステム。
【請求項22】
前記欠陥の特徴を測定するように構成された分析サブシステムをさらに備え、前記分析サブシステムがx線分析システムである請求項20に記載のシステム。
【請求項23】
電子ビームや光ビームと組み合わせた化学エッチングを使用して、欠陥の近くの前記基板上の材料の一部を除去することを備え、前記電子ビームが、前記光ビームと同軸状に前記基板に供給される、分析のために基板を準備する方法。
【請求項24】
前記除去することが、前記光ビームで前記材料を加熱することである請求項23に記載の方法。
【請求項25】
前記除去することが、前記材料の水平表面を加熱し、かつ前記材料の垂直表面を実質的には加熱しないことである請求項23に記載の方法。
【請求項26】
前記電子ビームや前記光ビームと組み合わせて前記化学エッチングを使用して前記欠陥の近くの前記基板上の追加の材料の一部を除去することをさらに備え、前記追加の材料が、前記材料とは異なり、前記材料の下に形成されている請求項23に記載の方法。
【請求項27】
前記材料の前記一部を前記除去し、前記追加の材料の前記一部を前記除去することが、前記光ビームで前記材料と前記追加の材料を差別的に加熱することを備える請求項26に記載の方法。
【請求項28】
前記材料がデバイス膜を備え、前記除去することが、前記基板上のデバイス・フィーチャのアスペクト比を実質的には変更しない請求項23に記載の方法。
【請求項29】
前記欠陥が、表面下欠陥または部分的表面下欠陥である請求項23に記載の方法。
【請求項30】
除去される前記材料の前記一部が、約10μm×約10μm以下の面積を有する請求項23に記載の方法。
【請求項31】
前記欠陥の特徴を決定するために、前記電子ビームを使用して前記欠陥を分析することをさらに備える請求項23に記載の方法。
【請求項32】
前記欠陥の特徴を決定するために、x線分析システムを使用して前記欠陥を分析することをさらに備える請求項23に記載の方法。
【請求項33】
前記欠陥の特徴を決定するために前記欠陥を分析することをさらに備え、前記欠陥の前記特徴が組成物である請求項23に記載の方法。
【請求項34】
前記化学エッチングが、前記基板をエッチング化学作用に暴露させることを備え、前記エッチング化学作用が、フッ素ベース化学作用、塩素ベース化学作用、臭素ベース化学作用、または酸素ベース化学作用を備える請求項23に記載の方法。
【請求項35】
分析のために基板を準備するように構成されるシステムであって、
1つまたは複数の化学物質を基板に供給するように構成された化学物質供給サブシステムと、
光ビームと同軸状に電子ビームを前記基板に供給するように構成された電子供給サブシステムと光供給サブシステムであって、前記電子ビームと前記光ビームに組み合わされた前記1つまたは複数の化学物質が、欠陥の近くの前記基板上の材料の一部を除去する、電子供給サブシステムと光供給サブシステムとを備えるシステム。
【請求項36】
前記電子供給サブシステムと光供給サブシステムが、前記光ビームを生成するように構成されたレーザを備える請求項35に記載のシステム。
【請求項37】
前記電子供給サブシステムと光供給サブシステムが電子カラムを備え、前記電子カラムが、前記光ビームが前記電子カラムに入ることを可能にするように構成された光学ウィンドウを備える請求項35に記載のシステム。
【請求項38】
前記電子と光層供給サブシステムがミラーを備え、アパーチャがミラーを経て形成され、前記電子ビームが前記アパーチャを通過し、前記光ビームが、前記光ビームが前記電子ビームと同軸になるように前記ミラーから反射される請求項35に記載のシステム。
【請求項39】
前記電子と光層供給サブシステムが、前記光ビームが前記材料を加熱するようにさらに構成される請求項35に記載のシステム。
【請求項40】
前記電子と光層供給サブシステムが、前記光ビームが前記材料の水平表面を加熱し、かつ前記材料の垂直表面を実質的には加熱しないようにさらに構成される請求項35に記載のシステム。
【請求項41】
前記電子と光層供給サブシステムが、前記電子ビームを使用して前記欠陥の特徴を測定するようにさらに構成される請求項35に記載のシステム。
【請求項42】
前記欠陥の特徴を測定するように構成された分析サブシステムをさらに備え、前記分析サブシステムがx線分析システムである請求項35に記載のシステム。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate

【図11】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate

【図15】
image rotate

【図16】
image rotate

【図17】
image rotate

【図18】
image rotate

【図19】
image rotate

【図20】
image rotate

【図21】
image rotate


【公表番号】特表2007−530944(P2007−530944A)
【公表日】平成19年11月1日(2007.11.1)
【国際特許分類】
【出願番号】特願2007−505058(P2007−505058)
【出願日】平成17年3月22日(2005.3.22)
【国際出願番号】PCT/US2005/009324
【国際公開番号】WO2005/092025
【国際公開日】平成17年10月6日(2005.10.6)
【出願人】(500049141)ケーエルエー−テンカー テクノロジィース コーポレイション (126)
【Fターム(参考)】