説明

基板をエッチングするための方法及び装置

本方法及び装置は、化学式Cabを有する第1のガス及び化学式Cxyzを有する第2のガスの混合物(ただし、a/b≧2/3、x/z≧1/2である)に基づくプラズマの使用を通して半導体及び誘電体基板をエッチングするために提供される。この混合物は、磁気的に増強された反応性イオンチャンバ内に維持された低または中密度プラズマにおいて用いられ、優れたコーナー層の選択性、ホトレジストの選択性、下層の選択性及びプロファイルと底部のCD制御を示すプロセスを提供する。第1と第2のガスのパーセンテージは、ドープされない酸化物膜をエッチングするプラズマまたはこのような膜上でエッチング停止を与えるために、エッチング中に変えることができる。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、一般にプラズマエッチングに関し、特にフルオロケミカルを用いる誘電体材料のプラズマエッチング方法に関する。
【背景技術】
【0002】
マイクロプロセッサ及び他の半導体デバイスの製造において酸化物及び窒化物が広く使用される。酸化物は、イオン注入により、または他の共通に使用されるドーピング方法により絶縁体状態から半導体状態にこれらの材料の導電性特性を容易に変化する能力により、特に使用される。
【0003】
多くの半導体製造プロセスにおいて、窒化物層の近傍に設けられたドープされ、またはドープされない1つ以上の酸化物の層を通してホールをエッチングする必要性が生じる。この状態の一つの例は、図1に示された形式の自己整合されたコンタクト構造(Self-Aligned Contact: SAC)が備わったウエハの製造中に生じる。このような構造において、2つのゲート構造10がシリコン基板2に形成され、ギャップ12によって分離される。ゲート構造及びギャップの底部は、フィールド酸化物の層18において順次覆われる窒化シリコン14のコンフォーマルな層で覆われる。
【0004】
製造プロセス中のある点において、フィールド酸化物層は、ギャップの底部にある窒化物層の一部24が除去され、下にあるシリコン基板に形成されたn型またはp型ウエル16と電気的なコンタクトを行なうことができるように、窒化物層までエッチングされなければならない。このプロセス中に、ゲート構造上の窒化物層は厚さが著しく減少されないことが極めて重要である。何故ならば、厚さが減少すると、完成されたデバイスにおいて電気的不足の可能性が増加し、その性能を著しく減少するからである。
【0005】
都合の悪いことに、ゲート構造の肩部にある窒化物層は、その形状のため、及びエッチングプロセス中にエッチングプラズマに曝される時間の長さのために、エッチングプロセス中非常に薄く、すなわち“面が作られる(ファセッテリング)”傾向にある。したがって、エッチングプラズマは角(コーナー)の窒素化物に非常に選択性があることが重要である。また、正しい寸法や形状の孔が得られるように、エッチングプラズマがエッチングプロセスにおいて用いられるホトレジストに対して選択性があることも重要である。更に、エッチングプロセスがギャップ16の下に位置するn型またはp型ウエル16にエッチングされる孔を拡大しないことは非常に重要である。何故ならば、孔を拡大することはデバイスの性能に悪い影響を与えるからである。従って、エッチングプロセスがドープされた酸化物上でエッチング停止の振舞いを示すことができ、及び/又はゲート構造間に延びる平らな窒化物の部分に対して高い選択性があることも重要である。
【0006】
いろいろなフルオロカーボンを使用することは、エッチング状態において、及び特に、フルオロカーボンが与える部分的な高い選択性により、図1に示された形式のSAC構造を含むものにおいて調査された。従って、特許文献1において、図1に示された構造のエッチングは、2(ツー)-ステッププロセスによって達成された。第1のステップにおいて、フィールド酸化物の層をシリコンナイトライド(窒化シリコン)のコンフォーマルな層まで除去する主エッチングにおいて、C46/Arが使用される。第2のステップにおいて、いわゆる全体の酸化物のエッチング時間が酸化物層の設計の厚さをエッチングために必要な時間より著しく高く設定されるので、C46/Ar/CH22オーバエッチングのために用いられる。
【0007】
オーバエッチングは、特許文献1において用いられた基板が、著しく変化する酸化物の厚さを次々に生成する波形表面を有しているという事実を補償するために必要である。従って、オーバエッチングは酸化物層の貫通を確実にするために必要である。その後、CH22/O2/Arが続く金属の注入ステップの前に窒化物をエッチングするために使用される。主エッチングは、良好な垂直プロファイルを有する孔を与えるためといわれ、一方強くポリマー化するCH22を伴うオーバエッチングは、コーナーの窒化物上にフルオロポリマーの堆積を生じ、それにより、ファセッティングに対して幾らかの保護を与える。基準は、3以上の炭素原子を有し、少なくとも1、しかし2未満のF/C比を有するフルオロカーボンの主エッチングにおける使用を支持する。
【0008】
例えば、特許文献1に開示された方法は、注目に値する進歩を示し、広くいろいろな状況において有用であるけれども、これらの方法は、大きなフィーチャサイズに対して設計されている。従って、特許文献1において使用されたSACsは、約0.35μmのトレンチ開口を有していた。しかし、今日多くの半導体デバイスは、0.25未満の、そしてしばしば、0.14μmあるいはそれ以下のトレンチ開口を有するように要求される。
【0009】
都合の悪いことに、特許文献1に開示された方法の効果は、減少するフィーチャサイズと共に低下するように見られる。これは、縮小するフィーチャサイズがより薄い窒化物層の使用を課するという事実にもより、従って、窒化物、特にコーナーの窒化物に対してプラズマの大きな選択性を必要とする。従って、例えば、0.25μmのギャップを有するデバイスは、0.35μmのギャップを有する比較可能なデバイスより約500〜700Å、または約100〜200Å厚い窒化物を有するであろう。都合の悪いことに、Hung他の主エッチングにおいて使用された化学物質(最も注目に値するC46/Ar)は、ファセッティングの受け入れ難い量がコーナーの窒化物において生じるという結果を伴って、約0.25μm未満のフィーチャサイズを有するデバイスによって必要とされる薄い窒化物層に対して不十分な選択性を与える。更に、コーナーの窒化物に達する前に主エッチングを停止するように、フィールドオキサイド層の主エッチングの時間を調節することは理論的に可能であるけれども、実際には、時間は、大きなプロセスの変動性によって影響され、従って、一方のエッチングから他方へとかなり変るるという事実によって、これは行なうのが困難である。
【0010】
更に、小さなフィーチャサイズを含む多くの応用において、イオン注入法または他のプロセスによって形成されたドープされたシリコンのアクティブ領域上に設けられた酸化物層をエッチングすることが必要である。これらのアクティブ領域は、エッチングされた孔の深さ(酸化物の厚さ)より実質的に小さい厚さをしばしば有するであろう。しかし、例えばC46/Arのような化学的性質は、ドープされ、またドープされない酸化物に対して選択性を有していない(すなわち、それらは共に同じ速度でドープされ、及びドープされない酸化物をエッチングする)。上述したタイミングの問題により、選択性のない酸化物エッチングの使用を通して、図1に示されたような基板をエッチングすること、及びその場合、エッチングのタイミングを制御することは困難であるので、それがコンフォーマルな窒化物の平らな部分を通してp型又はn型ウエルの下にあるアクティブなシリコン領域へ、エッチングする実質的な可能性なく殆ど又は全てのシリコン酸化物を通してエッチングする。
【0011】
例えば、C224/CHF3/Arのようなあるフレオン(Freon:Du Pont社のフルオロ炭化水素の商品名)134の化学的性質の使用は、エッチングプロセスにおいて調査されている。これらの化学的性質は、それらがエッチングされる孔の側壁に保護性フルオロポリマー層の形成を促進する点で望ましく、従って、ファセッティングに対してコーナー窒化物に保護を与える。しかし、これらの化学的性質は、多くの望ましい特性を有しているけれども、遡って調査された方法や順序だった説明は、フィーチャの孔及び不完全なエッチングの閉鎖を導く過度のポリマー堆積を生じることなく約0.18μmより小さなフィーチャサイズをエッチングするためには使用することができない。
【0012】
【特許文献1】米国特許第6,174,451号(Hung他)
【特許文献2】米国特許第6,238,588号(Collins他)
【特許文献3】EP-840,365-A2
【特許文献4】米国特許第6,705,081号(Hung他)
【特許文献5】米国特許第6,232,236号(Shan他)
【発明の開示】
【発明が解決しようとする課題】
【0013】
従って、過度のポリマー堆積を伴わないで、小さなフィーチャサイズ(例えば、約0.18μm未満)を有するデバイスに使用するのに適したホトレジストおよび窒化物(平らな窒化物及びコーナーの窒化物を含む)に非常に選択性のあるエッチングの化学的性質に対する必要性ある。これらの及び他の必要性は、以下に説明されるように、本発明によって適合される。
【課題を解決するための手段】
【0014】
一つの特徴において、本発明は、O2及び化学式Caaを有す少なくとも第1のガス及び化学式Cxyzを有する第2のガスの混合物に基づいたプラズマを使用して、基板、例えば半導体又は誘電体基板をエッチングするための方法に関する。これらのガスの化学的な組成物は、以下の少なくとも1つ、より一般的には少なくとも2つ、及び最も一般的には3つの全ての条件を満足するものである。
a/b≧2/3;
x/z≧1/2;及び
x/y≧1/3
【0015】
xyzの分離は、エチングされる孔の側壁によく付着する特定のポリマーを生じるように見つけ出され、それによりコーナーの窒化物に対して高い選択性を生じる。更に、混合ガスにおけるO2の含有により、生じるプラズマは、孔の実質的な塞がりのない小さなフィーチャサイズ(例えば、約0.25μm未満)を有する、進歩した構造をエッチングするために利用される。従って、例えば、この方法は、約0.25μm未満、約0.18μm未満、及び実際には約0.14μm未満さえものゲート構造間のギャップを有するSAC構造によく適している。
【0016】
他の特徴として、本発明は、ドープされない酸化物層及びドープされた酸化物層を含む基板をエッチングするための方法に関する。基板は、例えば、約0.25μm未満のゲート構造間のギャップを有し、ゲート構造上にある窒化物のコンフォーマルな層を有し、且つこのコンフォーマルな層上に配置されたドープされない酸化物及びドープされた酸化物の層を有し、ドープされない酸化物層とコンフォーマルな窒化物層の間に設けられたドープされた酸化物層を有するSAC構造を有する。
【0017】
その後、ドープされない酸化物層は、化学式Cabを有する第1のガスを含むガス流に基づいたプラズマを用いて、ドープされた酸化物層に達するまでエッチングされる。ドープされた酸化物に達する点は、例えばドーパントの存在を検出するために用意されたスペクトログラフ解析によって、または他の適当な手段によって定めることができる。次に、ドープされた層は、化学式Cxyzを有する第2のガスを含むガス流に基づくプラズマを用いてエッチングされる。これらのガスの化学的組成物は、一般に少なくとも1つ、より一般的には少なくとも2つ、及び最も一般的には以下の3つの全ての条件を満足するものである。
a/b≧2/3;
x/z≧1/2;及び
x/y≧1/3
【0018】
上述したように、Cxyzは、下にある窒化物がエッチングされるのを保護する孔の側壁上に新規なフルオロポリマーの堆積を生じるので、これらのガスは、Cabより優れたコーナーの窒化物の選択性を示す。他方、主エンチングにおいてCabを使用することは、それがCxyz単独で行なわれるよりも優れた垂直プロファイルを有する孔を生成する点で有利である。更に、Cabは、非選択性の酸化物のエッチングであり、一方Cxyzのある混合物(例えば、C224及びCH3とArの混合物)は、ドープされない酸化物上でエッチング停止の振舞いを示す。一般に、第1のガスはC46であり、第2のガスはC224である。
【0019】
他の特徴として、本発明は、C46とC224の混合物に基づくプラズマを用いて、基板、例えば半導体性又は絶縁性基板をエッチングするための方法に関する。一般的に、この混合物は、更にO2を含み、また一般的にキャリアとしてAr又は他の不活性ガスを含む。
【0020】
他の特徴として、本発明は、基板、例えば半導体性又は絶縁性基板をエッチングするための方法に関し、C46に基づいたプラズマで基板を先ずエッチングし、その後C224に基づいたプラズマで基板をエッチングするステップを有する。
【0021】
更に、他の特徴として、本発明は、基板、例えば半導体性又は絶縁性基板をエッチングするための方法に関し、(a)誘電体層及び半導体層から成るグループから選ばれた、基板上に設けられた第1の層を有する構造をチャンバ内に位置決めするステップ、(b)化学式Cabを有する第1のガス及び化学式Cxyzを有する第2のガスを有する反応性ガス混合物をチャンバに供給するステップ、ただし、a/b≧2/3及びx/z≧1/2、(c)十分なRFエネルギーをチャンバに与え、エッチングプラズマ及び基板の面に垂直な関連する電界を確立するステップ、(d)電界に実質的に垂直で、基板の面に実質的に平行な磁界をチャンバ内に与えるステップ、及び(e)プラズマが第1の層の少なくとも1部をエッチングすることができるステップを有する。
【0022】
更に、他の特徴として、本発明は、基板をエッチングする方法に関し、(a)半導体及び誘電体基板から成るグループから選ばれた基板を備えるステップ、(b)磁気的に増強された反応性イオンエッチングプロセスによって基板をエッチングするステップを有し、前記プロセスは、基板に対する反応性ガス混合物のエッチング速度及び選択性から成るグループから選ばれた少なくとも1つのパラメータの値を増加するのに充分な量のガス混合物への水素ラジカル源の追加を含む。ガス混合物は、化学式Cabを有する第1のガス及び化学式Cxyzを有する第2のガスを有する。ただし、a/b≧2/3及びx/z≧1/2である。
【0023】
更に、他の特徴として、本発明は、基板をエッチングする装置に関し、エッチングされる基板を受け取るのに適合したチャンバ、及びチャンバと開放連通する少なくとも1つのリザーバを有する。この少なくとも一つのリザーバは、化学式Cabを有する第1のガス及び化学式Cxyzを有する第2のガスを有するガス混合物をチャンバに供給するのに適合している。ただし、a/b≧2/3及びx/z≧1/2である。また、このガス混合物は、一般に酸素を有する。
【0024】
他の特徴として、本発明は、基板をエッチングするための方法に関し、(a)半導体及び誘電体基板から成るグループから選ばれた、基板を設けるステップ、(b)少なくともC46、O2及びArのガス混合物に基づいたプラズマの使用によって基板をエッチングし、それにより変更された基板を形成するステップ、(c)更に、少なくともC46、O2、Ar及びC224のガス混合物に基づいたプラズマの使用によって変更された基板をエッチングするステップを有する。
【0025】
更に、他の特徴として、本発明は、基板をエッチングするための方法に関し、(a)(i)第1の層、(ii)ドープされた酸化物、例えばホウ素リンシリケートガラス(BPSG)を有する第2の層、(iii)反射防止材料を有する第4の層、及び(iv)ドープされない酸化物、例えばテトラエチルオルソシリケートを有する第2と第4の層の間に設けられた第3の層を有する基板を設けるステップ、(b)第4の層を通して及び第3の層を少なくとも部分的に通して延びる窪みを形成するように、C46、O2、及びArを有する第1のガス混合物に基づいたプラズマの使用によって基板をエッチンするステップ、及び(c)窪みを実質的に第2の層へ延長するように、C46、O2、Ar及びC224を有する第2のガス混合物に基づいたプラズマの使用によって基板をエッチングするステップを有する。
【0026】
更に、他の特徴として、本発明は、プラズマエッチングプロセスにおけるプロファイル及び/又はウエットクリーン間の平均ウエハ(Mean Wafer Between Wet Clean: MWBWC)性能を制御する方法に関する。本方法によると、Cxyz/Cab/O2を含むガス混合体がエッチングプロセスにおいて使用される。Cxyz/Cab/O2の比がプロファイル及びウエットクリーン間の平均ウエハ(MWBWC)性能を順次制御するポリマー化の程度を制御するために操作される。
【0027】
更に、他の特徴として、本発明は、シリコン基板上に設けられた第1と第2のゲート構造を有するSACを備えた基板に関する。このゲート構造は、それらの間に約0.25μm未満、一般には約0.18μm未満、そして最も一般的には約0.14μm未満のギャップを有し、窒化シリコンの層によって覆われている。ドープされていない酸化物の層が窒化シリコンの層上に設けられ、ドープされた酸化物層がドープされていない酸化物層と窒化シリコンの層の間に設けられる。一般に、ドープされた酸化物層は、SAC構造を覆うために充分厚い。
【0028】
この構造は、C46とC224を有するガス混合物(混合物は、更にO2及び/又はArを含むこともできる)に基づいたプラズマエッチング操作に、またはC46を有する第1のガス流とC224を有する第2のガス流(第1と第2のガス流は、更にO2及び/又はArを含むこともできる)でエッチングするステップを含むプラズマエッチング操作に有利に用いられる。その操作において、スペクトログラフィック法を、エッチングチャンバ環境内でドープされた酸化物層からドーパントの濃度の増加を検出することによってドープされない酸化物層を通してエッチングの完了を検出するために用いることができる。この方法で、エッチングは、処理パラメータにおける変化でさえも確実に制御でき、窒化物層のファセッティングが避けられる。
【発明を実施するための最良の形態】
【0029】
詳細な説明に先だって、詳細な説明及び請求項に用いられているように、単数形は、明らかに断らない限り、複数を含むことに留意されたい。
ガスの成分に用いられるパーセント(%)は体積%であり、ガスの成分についての全ての比は、体積比である。
用語「選択性」は、a)2つまたはそれ以上のエッチング速度の比、及びb)一つの材料のエッチング速度が他の材料と実質的に異なる場合、エッチング中に達成される状態に言及するために用いられる。
用語「酸化物」は、一般に二酸化シリコン、及び一般式SiOxの他のシリコン酸化物ばかりでなく密接に関連する材料、例えばホウ素リンシリケート及び他の酸化物ガラスをいう。
用語「窒化物」は、窒素化しリコン(Si34)及びその化学量論変化をいい、後者は、化学式SiNx(ただし、xは1と1.5の間である)によって一般に包含される。
【0030】
本発明の好適な実施例が示されている添付図面を参照して以下に本発明を説明する。しかし、本発明は、いろいろな形態で実施され、ここに述べられた実施例に限定して解釈されるべきではない。
【0031】
本発明は、基板をエッチングするのに適したプラズマを発生する特定のフルオロカーボン(炭化フッ素)ガスを含むガス流を利用する。エッチングされるべき基板は、一般に酸化物、窒化物及び/または半導体デバイスの製造に用いられる形式の他の半導体性又は誘電体材料を含む。
【0032】
いろいろなガスが本発明のガス流に使用される。ガス流に使用される特定のガスは、エッチングされる特定の基板又は材料、1つまたはそれ以上の材料、例えば窒化物層又はホトレジストへのガスの要求される選択性、エッチングプロセスにおける特定の点などのファクタ、及び他のファクタに依存して選択される。更に、ガス流の組成物は、時間の関数として、またはエッチング操作の進行の関数として変えられる。
【0033】
しかし、本発明において使用される好適なガスは、一般化学式Cab及びCxyzによって定義される。一般に、利用されるガス流は、Cabを有する第1のガスとCxyzを有する第2のガスの混合物を含むが、幾つかの実施例において、第1と第2のガスは、代わりに、独立した処理ステップにおいて別々に用いることができる。従って、例えば、第1のガスは第1のエッチングステップにおいて(例えば、主エッチングにおいて)、そして第2のガスは第2のエッチングステップにおいて(例えば、オーバエッチングにおいて)用いることができる。これらのガスの化学的組成物は、以下の条件の、一般には少なくとも1つ、より一般的には少なくとも2つ、最も一般的には3つ全てが満足されるものである。
a/b≧2/3;
x/z≧1/2;及び
x/y≧1/3
【0034】
好適な実施例において、第1のガスはC46であり、第2のガスはC224(フレオン134)である。しかし、ある状況では、フレオン134をCH3F(x/y=1/3)、CH22(x/y=1/2)、及び/又はトリフルオロメタン(CHF3、x/y=1)で置き換えることが望ましい。また、ある状況では、C46をオクタフルオロシクロブタン(C48)で置き換えることが望ましい。
【0035】
本発明に用いられるガス流は、一般に不活性ガスを含む。アルゴンは好適なキャリアガスである。何故ならば、それは安く、且ついろいろな商業ソースから容易に利用できるからである。しかし、他の不活性ガス、例えば窒素、ヘリウム、またはゼノンをその能力において使用することができる。
【0036】
本発明に使用されるガス流は、一般に酸素も含む。本発明のガス流への酸素の追加は、多くの利点を与えることがわかっている。特に多くのガス、例えば、C224は、0.18μm未満のゲート構造間にギャップを有するSAC構造をエッチングするために用いられる。何故ならば、ポリマー化(重合)の過剰な量がエッチングされる孔の閉鎖を導く一般的なエッチング条件の下で生じるからである。逆に、O2及びC46を含むガス流は、孔の実質的な閉鎖のない構造をエッチングするために用いられる。実際、C46/O2は、約0.14μm未満のフィーチャサイズをエッチングするために首尾よく使用される。
【0037】
幾つかの実施例において、ガス流はCOを含むことができる。COの使用は、ポリマー化の程度が高く達成されるように、ある場合、それがプラズマの炭素濃度を増加するために使用されることができるという点で有利である。例えば、極端に高いホトレジストが必要な場合、これは重要である。この分野で知られている他の添加剤をいろいろな目的のためにガス流に加えることもできる。
【0038】
プラズマは、所望の炭素濃度を有する最適なフルオロカーボンのラジカルCFn(n=1,2,3)を含む本発明のガス流から発生することができる。処理パラメータ、たとえばCab/Cxyzを及びCab/O2ガスの比、全ガス流、添加剤のガス流、RF電力、チャンバ圧力及びB−磁界強度の適切な操作を通して、所望の程度のポリマー化がエッチングされる基板の表面上に誘導される。形成された高い炭素濃度は、誘電体のエッチング応用の広い範囲で優れた性能を与え、コーナー及び平らな窒化物の選択性、ホトレジストの選択性、下層の選択性、及び底部の臨界寸法の均一性を改善するのに役立つ。
【0039】
更に、ガス流におけるCxyz/Cab/O2の比、したがって結果的に生じるポリマー化の程度を調整することによって、良好なプロファイル制御及びウエットクリーン間の平均ウエハ(MWBWC)性能が得られる。更に、その結果生じるプラズマは、エッチングプロセスがエッチングされている膜に対して敏感でなくする自由なFを含まない。したがって、ドープされた、及びドープされない誘電体フィルム間にチューニングを必要としない。
【0040】
上述した第1と第2のガスの混合物は、本発明において使用するのに特に適しており、多くの利点を与える。したがって、例えば、Cxyzガスに基づいたプラズマは、しばしば、ドープされない酸化物の膜に対して選択性があることがわかった。しかし、充分な量のCabの、プロセスガス混合物への付加によって、その結果生じるプラズマは、なんらエッチング停止のない所望の深さまでドープされない酸化物の膜をエッチングすることができる。
【0041】
逆に、混合物におけるCabの割合は、ドープされない酸化物層上でエッチング停止することが必要な場合、処理ノブとして使用することができる。特に、ドープされない酸化物層がエッチング停止に近づくにしたがって、ガス混合物におけるCabの量は減少される(必要に応じて、ゼロまで)。ドープされた、又はドープされない酸化物層の接近を検出するために、一般にドーパント濃度の像かまたは現象に対するチャンバ雰囲気を監視することによって、分光技術または他の適当な方法を用いるこができる。
【0042】
本発明にしたがって、特にガス混合物が酸素を含む場合、高い、窒化物の選択性を与える混合ガスを作ることもできる。したがって、例えば、C46/O2/Ar/C224/の化学作用は、SAC応用における側壁の窒化物及び平らな窒化物上に良好な不動態を与えることが判った。逆に、C46/O2/Arのみの化学作用は、それが良好な平らな窒素化物の選択性を与えるけれども、コーナーの窒化物の高い選択性を示さない。
【0043】
本発明によるエッチングは、一般に、エッチングされる基板が取り付けられる低圧力チャンバ内に維持されるプラズマの使用によって行なわれる。本発明において使用するのに適したエッチング装置は特に限定されない。むしろ、本発明の方法は、多くの既知のプラズマリアクタを用いて実施されることができる。これらのリアクタは、例えば、アプライド社から商業的に利用でき、特許文献2及び特許文献3ばかりでなく、特許文献4及び特許文献1にも記載されているIPSエッチングリアクタを含む。
【0044】
しかし、一般に、本発明の方法は、磁気増強反応性イオンエッチング(Magnetically Enhanced Reactive Ion Etch: MERIE)チャンバ内に維持された低または中密度プラズマの使用によって実施される。エッチングチャンバは、プラズマを生成するために使用されるガスのリザーバと連通している。これらのリザーバは、例えばAr,O2,CO,NH3,Cxyz及びCabのシリンダーを有する。
【0045】
図2は、本発明において使用するのに適したMERIEシステム100の簡略化した概念図である。システム100は、処理チャンバ101を有する。このチャンバ101は、囲まれた容積を画定する側壁102、フロアー(底部)104及び蓋106の組を有する。ガスパネル110は、チャンバによって画定された、囲まれた容積に反応性ガス(エッチング化学作用)を供給する。システム100は、更に、電界がペデスタルアッセンブリ108とチャンバ102及び蓋106間に確立されるようにペデスタルアッセンブリ108を駆動するRF電源122及びマッチング回路120を有する。コイル103の組がプアラズマ124の磁気制御を容易にするために、チャンバ101の側壁の周りに配置される。
【0046】
ペデスタルアッセンブリ108は、チャンバ内でカソード112に中央で取り付けられ、カラー118によって囲まれているペデスタル114を有する。ペデスタルは、ワークピース、例えばチャンバ内で処理される半導体を保持する。プラズマ反応チャンバ101は、低いエネルギープラズマを生成し、維持するために容量性結合されたRF電力を用いる。プラズマは、低、中、高密度であるが、本発明の実施には低から中密度プラズマが好適である。RF電力は、マッチング回路120を介して1つまたはそれより多いRF周波数を生じるRF電源から結合される。蓋106及び壁102は、接地され、RF電力に対して接地基準(アノード)として働く。図2に示された構成に関して、プラズマ密度は、マッチング回路120を介して電源122から与えられるRF電力によって制御される。
【0047】
半導体ウエハの処理において、カソード112は、一般に、導電性材料、例えばアルミニウムから作られる。ペデスタル114は、一般に、ポリマー、例えばポリイミド、又はセラミック材料、例えば、窒化アルミニウム又は窒化ホウ素から作られる。ワークピース116(すなわち、半導体ウエハ)は、一般に、シリコンから作られる。プラズマに結合する電界は、ワークピース及びペデスタルの双方を通る。カソード及びワークピースは、異なった材料から作られるので、これらの材料は、プラズマに異なった影響を及ぼす。結果的に、ウエハのエッジ125においてプラズマパラメータ及びプロセスの均一性の急激な変化がある。ウエハのエッジ125におけるプロセスの均一性を改善するために、カラー118がペデスタル114を囲み、部分的にペデスタルと重なっている。プロセスキットとしても知られているカラー118は、一般に、クオーツのような材料から作られる。
【0048】
使用中に、ガス流が1つまたはそれより多いガス源からガスパネル110を通して供給される。一般に、これらのガス源は、1つまたはそれより多い供給口に接続された所望のエッチング化学物質、例えばAr,O2,CO,C46,C224のいろいろな成分を含む加圧されたタンクである。ガス源は、一般に、磁気又は半導体メモリにプロセスレシピをストアするシステムコントローラの直接的又は間接的制御下にあるので、これらガス源からのガスの流れは、チャンバ内の雰囲気の構成を制御又は変更するために独立して調整することができる。真空ポンプシステムがチャンバを予め選択された圧力に保つためにチャンバに接続される。
【0049】
本発明の実施に有利に使用されるMERIEチャンバに対するいろいろなアクセサリーや改良、及び技術が開発されている。例えば、特許文献5は、ウエハのより均一で、繰り返し可能なエッチングを行なえるように、プラズマの均一性の制御ばかりでなく、MERIEチャンバ内でウエハ表面を横切ってイオンエネルギーやラジカル成分の均一性を改善する方法を開示している。これらの方法や特許文献5に記載された改善されたMERIEチャンバは、本発明の実施に適用できる。
【0050】
プラズマエッチングにおいてエンドポイント(終点)の検出のための監視するプロセスとして、発光分析(Optical Emission Spectroscopy: OES)を本発明において有利に使用することができる。図2に示した形式のチャンバにおいて、これは、例えば、ウエハ上のプラズマ領域を横方向に調べるために、チャンバ壁を貫通する孔に置かれた光ファイバを設けることによって容易になされる。光学検出システムが光ファイバの他端に接続され、プラズマにおける1以上の種と関連するプラズマ放射スペクトルに適合される1つまたはそれより多くのファイバ及び処理回路を含む。新しい信号が現れ、または古い信号が減少するのいずれかにしたがって、エッチングプロセスの一つのステップが完了したことを決めるために信号を用いることができる、システムコントローラに生の検出信号またはトリガ信号が電子的に供給される。この決定に関して、システムコントローラは、プロセスレシピを調整するか、またはエッチングステップを終えることができる。
【0051】
本発明の幾つかの適用において、エッチングされる基板は、エンドポイントを決定するこの能力を利用するように設計することができる。例えば、小さなフィーチャサイズ、例えば、約0.25μm未満のゲート構造間にギャップを有するSAC構造を有する進歩した構造において、コーナーの窒化物の選択性は非常に重要である。これは、厚さが減少される(一般に、500〜700Åの範囲内に)このような小さなフィーチャサイズがゲート構造上に設けられたコンフォーマルな窒化物層を必要とするという事実にもよる。コーナーの窒化物は、一般に、多面化する傾向がるので、プラズマのコーナー窒化物の選択性を更に増加することによってこの傾向を補償することが必要になる。
【0052】
本発明の背景において、これは、ドープされない層とコンフォーマルな窒化物層との間に設けられたドープされた層を有するSAC構造上にドープされない酸化物層及びドープされた酸化物層を堆積することによって達成される。その後ドープされない酸化物は、良好な垂直プロファイルを与える化学物質、例えばC46を用いて主エンチング中にエッチングされる。その後、OESが主エッチングのエンドポイントをマークするドープされた酸化物層(これは、一般に、ホウ素のような材料である)からのドーパントの、エッチングチャンバ雰囲気における発生を検知するために用いられる。その後化学作用は、C224または高くされたコーナー窒化物の選択性を示す他の材料に変更される。化学作用の変更は、エンドポイントに達したとき、C46をC224で完全に置き換えることによって、或いは単純に、C46の濃度の減少によりそれに伴ってガス流におけるC224の濃度の増加によって特徴づけられる。このツーステッププロセスの使用を通して、主エッチングは、容易に制御され、且つ孔の深さが窒化物に近づいたとき停止され、それにより窒化物層の多面化を避けることができる。
【0053】
種エッチャントとしてのC46使用と共にここで酸化物のドープされない層の使用は、C46が孔を塞ぐことなく良好な垂直プロファイルを提供する。逆に、C224の化学作用の使用は、幾つかの適用において、ポリマー化の結果として孔の上部で孔を狭めたり(ネック化)、場合によっては孔を塞ぐようになる。しかし、当業者は、浅い穴(例えば、約3000〜4000Å未満)が必要とされ、したがって、閉塞の可能性最小の場合、及び良好な垂直プロファイルの必要性が重要でない場合、全体の酸化物層をドープし、且つC224の化学作用を単一のエッチングステップにおいて孔を画定するために使用することができる。
【0054】
本発明の方法は、幾つかの形式の進歩した構造を製造することを可能にする。このような進歩した構造の例は、図3の断面図に示される2つのトランジスタに対して自己整合コンタクト(self-aligned contact: SAC)構造である。SAC構造は、例えば、酸化シリコンまたは窒化シリコンであるシリコン基板202上に設けられる。また、SAC構造は、ゲート酸化物203、ポリシリコン層204(ドープされまたはドープされない)及び酸化物のハードマスク205の層を堆積することによって、及びゲート構造210の間にギャップ212を有する2つの接近した間隔のゲート構造210にこれらの層をホトリソグラフ的に形成することによって形成される。
【0055】
その後、化学気相堆積が、ゲート構造210の上部や側壁ばかりでなくギャップ212の底部を覆う、厚さが約100〜500Åの窒素化しリコン(Si3N4)の実質的にコンフォーマルな層をウエハ上に堆積するために使用される。ドーパントイオンは、それぞれのゲート210を有する2つのトランジスタ用の共通ソースとして作用する自己整合されたp型またはn型ウエル216を形成するためにマスクとしてゲート構造210を用いてイオン注入される。トランジスタのドレイン構造は示されていない。
【0056】
酸化物層がこの前に画定された構造上に堆積される。この酸化物層は、一般に、約9000Åの厚さを有し、単一のフィールド酸化物層であるか、または、図3に示されるように、構造TEOS/PSG cos/PSG(ゲート間のギャップをBPSG/PSGで充填する)は厚さ207が第1の5000Åであり、且つドープされない酸化物層208は次の4000Åである2つの部分構成を有している。
【0057】
約4000Åと約9000Åの間のホトレジスト層が酸化物層208上に堆積され、且つ続く酸化物のエッチングステップが酸化物層208を通してコンタクトホール222(孔)をエッチングし、孔222の下にある窒化物層224の部分上で停止するように写真によってマスクに規定される。エッチング後スパッタリングがギャップ212の底部にある窒化物部分224を除去するために使用される。窒化シリコンは、金属、通常はアルミニウムに対する電気的絶縁体として作用し、その後、コンタクトホール222に充填される。幾つかの実施例において、定在波の有害な効果を除去することができる複屈折反射防止膜(Birefringent Antireflective Coating: BARC)223または他の形式の材料が光学的に設けられる。一般に、約900Å未満の厚さであるこの材料は、酸化物層及びホトレジストマスク間に一般に設けられる。
【0058】
図2に示される構造の幾つかの変形が可能である。したがって、他の特定の実施例において、ハードマスクが以下の3つの連続するマスクの1つで置き換えられる。
(1)窒化シリコンの層;
(2)タングステンシリサイド(WSix)の層、窒化シリコンの層及び酸化物のハードマスク(その順番で);または
(3)タングステンシリサイド(WSix)の層及び窒素化しリコンの層
【0059】
本発明のガス混合物によって提供される選択性の重要性は、SAC及び他の進歩した構造ばかりでなく、挑戦したこれらの構造によってもたらされる利点を考慮することによって理解されるであろう。窒化物は絶縁体として作用するので、SACの構造及びプロセスは、直径が一般に約0.14〜約0.25μmであるコンタクトホール222がゲート構造210間のギャップ212の幅より広いという利点を提供する。更に、ゲート構造210を伴うコンタクトホールのホトグラフックレジストリ(photographic registry)は、正確である必要がない。しかし、有利な効果を達成するために、SAC酸化物のエッチングは、窒化物に対して非常に選択性がなければならない。選択性の多くの値が酸化物と窒化物のエッチング速度の比として計算される。選択性は、ギャップ上及びギャップの隣の窒化物層214のコーナー226において特に重要である。何故ならば、コーナー226は、酸化物のエッチングに対して最も長く曝される窒化物の部分であるからである。更に、それらはコーナー226において多面を形成する傾向にある早いエッチングに好ましい形状を有している。
【0060】
更に、増加された選択性は、うねっているウエハ上の酸化物層の平坦化に対する化学機械的研磨(chemical mechanical polishing: CMP)の増加した使用が必要とされる。平坦化は、波打った下層の基板上に平らな酸化物表面を生成し、それによって著しく変る厚さの酸化物層を生成する。結果的に、酸化物のエッチング時間は、酸化物の貫通を確かにするために、設計の厚さのエッチングより著しく高く、いわゆる100%だけ高く設定されなければならない。これは、オーバエッチングと呼ばれ、他のプロセス変化の原因となる。しかし、薄い酸化物を伴う領域に対して、窒化物はエッチング環境に非常に長く露出される。
【0061】
都合の悪いことに、選択性の必要な程度は、ゲート構造210とコンタクトホール222へ充填された金属間の電気的短絡の可能性に反映される。ホトレジスト層220は窒化物層214より非常に厚く作られているので、ホトレジストの選択性は窒化物の選択性ほど臨界的でないけれども、エッチングはホトレジストにも選択性がなければならない。
【0062】
本発明は、以下の限定されない例を参照して説明される。
例1
この実験は、ドープされない酸化物上のフレオン(Freon)134のエッチング停止の振舞いを示す。
ドープされない酸化物の基板上に設けられたウエハの中央において9%PSGの表面層からなったウエハが設けられた。eMAXチャンバを備えたMERIEリアクタを用いて、及びC46/フレオン134/O2/Arから成るガス流を用いて3つの分離した孔がウエハにエッチングされた。処理パラメータは以下のとおりである:
チャンバ圧力: 40〜80mTorr
プラズマを発生するために使用された電力: 1000〜1800ワット
カソード温度: 15〜35℃
B-フィールド(磁界): 0〜50ガウス
O2の流速: 15sccm
フレオン134: 2〜8sccm
Arの流速: 500sccm
46の流速: 20〜30sccm
エッチング期間は、約60〜90秒であった。プラズマは、ドープされた酸化物表面の層を容易に貫通したが、下にある基板に関してエッチング停止の振舞いを示した。
【0063】
例2
この例は、平坦な窒化物に関してフレオン134が示す選択性の不足を示す。
以下の層のシーケンスから成るウエハが与えられた。



例1の方法及び装置を用いて、PBSG層が露出されるまで25:15:500のそれぞれの流速比でドープされない酸化物層8がC46/O2/Arの化学作用横を用いてエッチングされた。
次に、この化学作用は、6:80:90のそれぞれの流速比でフレオン134/CHF3/Arに切替えられ、エッチングが続けられた。プラズマはギャップの底部において平坦な窒化物層を貫通し、したがって、平坦な窒化物に対してフレオン134の選択性の不足を実証している。
【0064】
例3
この例は、C46/O2/Arだけの化学作用によって示された質の悪いコーナーの窒化物の選択性を示している。
例2の実験は、異なる化学作用を用いて繰返された。それぞれ30/20/500の流速を有するTEOS層を通してエッチングするために、C46/O2/Arが用いられた。エッチングは、プラズマがBPSG層を貫通し、コーナーの窒化物と接触した。次に、それぞれ27/15/500/9の流速を用いて、BPSG層を通してエッチングするために、C46/O2/Ar/フレオン134が用いられた。プラズマは、平坦な窒化物部分に関してエッチング停止の振舞いを示し、したがって、平坦な窒化物に対して。C46/O2/Ar/フレオン134の化学作用の選択性を示した。しかし、第1のエッチング停止中にプラズマと接触したコーナーの窒化物は、著しく腐蝕され、したがって、C46/O2/Ar/フレオン134のみの化学作用が質の悪いコーナー窒化物の選択性を示すことを実証した。
【0065】
例4
この例は、フレオン134/C46/O2/Arのみの化学作用によって示された良好なコーナー窒化物及び平坦な窒化物の選択性を示す。
プラズマがコーナー窒化物に接触する前に、第1のエッチングステップが停止されたことを除いて、例3の実験が繰返された。それぞれ27/15/500/4の流速を用いてBPSGを通してエッチングするために、C46/O2/Ar/フレオン134Aが第2のエッチングステップに用いられた。
プラズマは、再び平坦な窒化物に関してエッチング停止の振舞いを示した。しかし、更に、コーナー窒化物の選択性は著しく改善され、したがって、コーナー窒化物に対するC46/O2/Ar/フレオン134Aの選択性を実証した。フレオン134Aの低い流速は、フレオン134Aが低濃度でさえも効果的なポリマー形成剤であることも実証している。
【0066】
例5
この例は、ドープされない酸化物上のフレオン134/C46/O2/Arの化学作用のエッチング停止の振舞いを示す。
フレオン134/C46/O2/Arがそれぞれ27/15/500/8の流速でプロセスガスとして用いられたことを除いて、例1の実験が繰返された。結果として生じたプラズマが観察され、ドープされない酸化物層上で良好なエッチング停止の振舞いを示した。一般に、エッチング停止の振舞いは、8またはそれより大きいフレオン134の流速で観察された。フレオン134の流速比が非常に高い場合、過剰なポリマー化が生じるので、約8〜約12の範囲内のフレオン134の流速比が一般に用いられる。
【0067】
上記の例は、プロセスガスの組成を変えることによって、ドープされ、及びドープされない酸化物の双方をエッチングする、またはドープされない酸化物上でエッチング停止を達成する能力を示す。この例は、いずれかのガスのみで達成される結果と比較して、フレオン134及びC46の混合物で達成可能なコーナー窒化物の選択性における改善を示す。
【0068】
本発明は、模範的な幾つかの実施例に関して説明されたけれども、当業者に明らかな上述の実施例の多くの変化がある。これらの変化は、請求項によってのみ限定されるべきである本発明の教示の範囲内であることが理解される。
【0069】
例えば、この明細書(請求項、要約及び図面を含む)で説明された全ての特徴、及び/または説明された方法またはプロセスの全てのステップは、特徴及び/またはステップの少なくともいくつかが相互に排他的である場合の組み合わせを除いて、如何なる組み合わせも可能である。
【0070】
更に、この明細書(請求項、要約及び図面を含む)に開示された各特徴は、特に断らない限り、均等物または同じ目的を奏する他の特徴によって置き換えることができる。したがって、特に断らない限り、開示された各特徴は、一般的な一連の均等な特徴の一例のみである。
【図面の簡単な説明】
【0071】
【図1】従来のSAC構造の概略図である。
【図2】本発明のいろいろな実施例によって使用される模範的なエッチングチャンバの概略図である。
【図3】本発明の方法を使用してエッチングされることができるSAC構造の概略図である。

【特許請求の範囲】
【請求項1】
基板をエッチングするための方法であって、
少なくとも一つの酸化物層を有する基板を備えるステップと、
酸素及び少なくとも第1と第2のガスの混合物に基づくプラズマで前記酸化物層をエッチングするステップとを有し、
前記第1のガスは化学式Cabを有し、前記第2のガスはCxyzを有し、a/b≧2/3,x/z≧1/2であり、且つa,b,x,y,zは全て0より大きいことを特徴とする方法。
【請求項2】
x/y≧1/3であることを特徴とする請求項1に記載の方法。
【請求項3】
前記混合物は、更に、アルゴンを含むことを特徴とする請求項1に記載の方法。
【請求項4】
aは、4であることを特徴とする請求項1に記載の方法。
【請求項5】
xは、1〜3の範囲にあることを特徴とする請求項1に記載の方法。
【請求項6】
前記プラズマは、約1×1011/cm3未満の密度を有することを特徴とする請求項1に記載の方法。
【請求項7】
前記プラズマは、約1×1011/cm3〜約1×1011/cm3の範囲内の密度を有することを特徴とする請求項1に記載の方法。
【請求項8】
前記基板は、更に、ホトレジストの層を有し、前記プラズマは、少なくとも6:1のホトレジストの選択性を有することを特徴とする請求項1に記載の方法。
【請求項9】
前記基板は、更に、ホトレジストの層を有し、且つ前記プラズマは、少なくとも8:1のホトレジストの選択性を有することを特徴とする請求項1に記載の方法。
【請求項10】
前記基板は、更に、窒化物の層を有し、且つ前記プラズマは、少なくとも20:1のホ窒化物の選択性を有することを特徴とする請求項1に記載の方法。
【請求項11】
前記基板は、前記基板に孔が形成されるような方法でエッチングされることを特徴とする請求項1に記載の方法。
【請求項12】
前記エッチング状態のもとで前記混合物の使用によって、孔の少なくとも一つの面上にフルオロポリマーが堆積されることを特徴とする請求項11に記載の方法。
【請求項13】
前記孔は、少なくとも1方向に約0.25μm未満の幅を有することを特徴とする請求項11に記載の方法。
【請求項14】
前記孔は、少なくとも1方向に約0.18μm未満の幅を有することを特徴とする請求項11に記載の方法。
【請求項15】
前記孔は、少なくとも1方向に約0.14μm未満の幅を有することを特徴とする請求項11に記載の方法。
【請求項16】
前記第2のガスは、化学式C224を含むことを特徴とする請求項1に記載の方法。
【請求項17】
前記第2のガスは、テトラフルオロエタンであることを特徴とする請求項1に記載の方法。
【請求項18】
前記第2のガスは、1,1,1,2-テトラフルオロエタンであることを特徴とする請求項1に記載の方法。
【請求項19】
前記第1のガスは、化学式C46であることを特徴とする請求項1に記載の方法。
【請求項20】
前記第1のガスは、
【化1】

であることを特徴とする請求項19に記載の方法。
【請求項21】
前記第1のガスは、
【化2】

であることを特徴とする請求項19に記載の方法。
【請求項22】
前記混合物は、C46,C224,O2及びArを含むことを特徴とする請求項1に記載の方法。
【請求項23】
前記混合物は、C46,CH3F,O2及びArを含むことを特徴とする請求項1に記載の方法。
【請求項24】
前記混合物は、C46,CH22,O2及びArを含むことを特徴とする請求項1に記載の方法。
【請求項25】
前記混合物は、更に、COを含むことを特徴とする請求項1に記載の方法。
【請求項26】
エッチングは、チャンバ内で行なわれ、且つO2とC224のチャンバへの流速の比は、約2〜約8の範囲内であることを特徴とする請求項21に記載の方法。
【請求項27】
エッチングは、チャンバ内で行なわれ、且つO2とC224の流速の比は、約4〜約6の範囲内であることを特徴とする請求項25に記載の方法。
【請求項28】
エッチングは、チャンバないで行なわれ、且つO2とC46のチャンバへの流速の比は、約0.5〜約1.0の範囲内であることを特徴とする請求項21に記載の方法。
【請求項29】
前記混合物は、エッチングプロセス中に第1の混合物から第2の混合物へ変化され、且つ前記第2のガスと前記第1のガスのモル比は、前記第1の混合物より前記第2の混合物において高いことを特徴とする請求項1に記載の方法。
【請求項30】
前記基板は、ドープされない酸化物の層上に設けられたドープされた酸化物の層を有し、前記第1と第2の混合物は、前記ドープされた酸化物をエッチングし、且つ前記第2の混合物は、前記第1の混合物が前記ドープされた酸化物をエッチングする速度より遅い速度でドープされない酸化物をエッチングすることを特徴とする請求項1に記載の方法。
【請求項31】
前記基板は、磁気的に増強された反応性イオンエッチャーにおいてエッチングされることを特徴とする請求項1に記載の方法。
【請求項32】
前記エッチャーは、カソードを備え、且つ前記カソードは、約0℃〜約40度の範囲内の温度を有することを特徴とする請求項31に記載の方法。
【請求項33】
前記基板は、約40mTorr〜80mTorrの範囲内の圧力でエッチングされることを特徴とする請求項1に記載の方法。
【請求項34】
前記基板は、約50ガウス未満の磁界の存在下でエッチングされることを特徴とする請求項1に記載の方法。
【請求項35】
前記基板は、約10〜約40ガウスの範囲内の磁界の存在下でエッチングされることを特徴とする請求項1に記載の方法。
【請求項36】
基板をエッチングするための方法であって、
基板上に設けられ、誘電体層と半導体層から成るグループから選ばれた第1の層を有する構造体をチャンバ内に配置するステップと、
少なくとも一つの酸化物層を有する基板を備えるステップと、
酸素及び少なくとも第1と第2のガスの混合物に基づくプラズマで前記酸化物層をエッチングするステップとを有し、
化学式Cabを有する第1のガスと、化学式Cxyzを有する第2のガスを有する反応性ガス混合物(ただし、a/b≧2/3,x/z≧1/2であり、且つa,b,x,y,zは全て0より大きい)をチャンバに供給するステップと、
エッチングプラズマを確立するために充分なRFエネルギーを前記チャンバに供給し、且つ前記基板の面に垂直な関連電界を供給するステップと、
前記電界に実質的に垂直で、前記基板の表面に実質的に平行な磁界をチャンバに与えるステップと、
前記プラズマが前記第1の層の少なくとも一部をエッチングするのを可能にするステップと、
を有することを特徴とする方法。
【請求項37】
更に、マスク層を前記第1の層に与えるステップと、
前記マスク層に開口を形成して、前記マスク層を通して前記第1の層を露出するステップと、
を有することを特徴とする請求項36に記載の方法。
【請求項38】
前記第1の層は、シリコン酸化物の層であることを特徴とする請求項36に記載の方法。
【請求項39】
前記第1の層は、シリコンの層であることを特徴とする請求項36に記載の方法。
【請求項40】
前記チャンバは、カソードを備え、且つ前記基板は、前記下ソードに配置されることを特徴とする請求項36に記載の方法。
【請求項41】
更に、前記反応性ガス混合物が前記第1の層の少なくとも一部をエッチングするまえにカソードにおいて約−40℃〜約20℃間の温度を確立するステップを有することを特徴とする請求項40に記載の方法。
【請求項42】
更に、前記反応性ガス混合物が前記第1の層の少なくとも一部をエッチングするまえにカソードにおいて約0℃〜約20℃間の温度を確立するステップを有することを特徴とする請求項40に記載の方法。
【請求項43】
前記磁界は、直流磁界であることを特徴とする請求項36に記載の方法。
【請求項44】
前記磁界は、方向及び大きさが独立して制御可能であることを特徴とする請求項36に記載の方法。
【請求項45】
基板をエッチングするための方法であって、
半導体及び誘電体基板から成るグループから選ばれた基板を備えるステップと、
前記基板を、磁気的に増強された反応性イオンエッチングプロセスを通してエッチングするステップ、前記プロセスは、前記基板に対して反応性ガス混合物のエッチング速度及び選択性から成るグループから選ばれた少なくとも一つのパラメータの値を増加するのに充分な量の水素ラジカルの前記ガス混合物への追加を含んでおり、且つ
前記ガス混合物は、化学式Cabを有する第1のガスと、化学式Cxyzを有する第2のガスを有する反応性ガス混合物(ただし、a/b≧2/3,x/z≧1/2であり、且つa,b,x,y,zは全て0より大きい)を有することを特徴とする方法。
【請求項46】
基板をエッチングするための装置であって、
エッチングされる基板を受け取るのに適合したチャンバと、
前記チャンバと連通する少なくとも一つのリザーバと、
を有し、
前記少なくとも一つのリザーバは、ガス混合物をチャンバへ供給するようにされ、前記ガス混合物は、化学式Cabを有する第1のガスと、化学式Cxyzを有する第2のガス(ただし、a/b≧2/3,x/z≧1/2であり、且つa,b,x,y,zは全て0より大きい)を有することを特徴とする装置。
【請求項47】
前記ガス混合物は、更に酸素を有することを特徴とする請求項46に記載の装置。
【請求項48】
前記第2のガスは、化学式C224を有することを特徴とする請求項46に記載の装置。
【請求項49】
前記第2のガスは、テトラフルオロエタンであることを特徴とする請求項46に記載の装置。
【請求項50】
前記第2のガスは、1,1,1,2-テトラフルオロエタンであることを特徴とする請求項46に記載の装置。
【請求項51】
前記第1のガスは、化学式C46であることを特徴とする請求項46に記載の装置。
【請求項52】
前記第1のガスは、
【化3】

であることを特徴とする請求項46に記載の方法。
【請求項53】
前記第1のガスは、
【化4】

であることを特徴とする請求項46に記載の方法。
【請求項54】
前記混合物は、C46,C224,O2及びArを含むことを特徴とする請求項46に記載の装置。
【請求項55】
前記混合物は、C46,CH3F,O2及びArを含むことを特徴とする請求項46に記載の装置。
【請求項56】
前記混合物は、C46,CH22,O2及びArを含むことを特徴とする請求項46に記載の装置。
【請求項57】
前記混合物は、更に、COを含むことを特徴とする請求項46に記載の装置。
【請求項58】
2とC224のチャンバへの流速の比は、約2〜約8の範囲内であることを特徴とする請求項54に記載の装置。
【請求項59】
2とC224の流速の比は、約4〜約6の範囲内であることを特徴とする請求項54に記載の方法。
【請求項60】
2とC46のチャンバへの流速の比は、約0.5〜約1.0の範囲内であることを特徴とする請求項54に記載の装置。
【請求項61】
前記混合物は、エッチングプロセス中に第1の混合物から第2の混合物へ変化され、且つ前記第2のガスと前記第1のガスのモル比は、前記第1の混合物より前記第2の混合物において高いことを特徴とする請求項46に記載の装置。
【請求項62】
前記少なくとも一つのリザーバは、第1、第2、第3及び第4のリザーバを有し、前記第1のリザーバは、C46を含み、前記第2のリザーバは、C224を含み、前記第3のリザーバは、O2を含み、且つ前記第4のリザーバは、Arを含むことを特徴とする請求項46に記載の装置。
【請求項63】
前記第1、第2、第3及び第4のリザーバの各々は、前記リザーバからのガスの流速を制御するための制御バルブを備えていることを特徴とする請求項62に記載の装置。
【請求項64】
更に、前記チャンバ内の雰囲気の組成を解析するための装置を備えていることを特徴とする請求項46に記載の装置。
【請求項65】
前記少なくとも一つのリザーバは、少なくとも第1及び第2のリザーバを有し、前記装置は、チャンバ内の雰囲気の組成に応答して、前記第1及び第2のリザーバからのガスの流れを調節するようにされていることを特徴とする請求項64に記載の装置。
【請求項66】
前記第1のリザーバは、C46を入れており、前記第2のリザーバは、C224を入れており、前記第1のリザーバからのガスの流速と前記だ2のリザーバからのガスの流速の比がrであり、チャンバにおけるホウ素の濃度がbであり、且つ定数m,n>0に対して、b<nのとき、r<mであり、b≧nのとき、r≧nであることを特徴とする請求項64に記載の装置。
【請求項67】
基板をエッチングするための方法であって、
半導体及び誘電体基板から成るグループから選ばれた基板を備えるステップと、C46、O2及びArを有するガス状混合物に基づくプラズマの使用によって前記基板をエッチングするステップ、それにより変更された基板を形成し、且つ
46、O2、Ar及びC224を有するガス状混合物に基づくプラズマの使用によって、前記変更された基板を更にエッチングするステップと、
を有することを特徴とする方法。
【請求項68】
基板をエッチングするための方法であって、
(a)ドープされた酸化物を有する第1の層、及び(b)ドープされない酸化物を有する第2の層を有する基板を備えるステップと、
前記第2の層を通して少なくとも部分的に広がるが、前記第1の層に実質的に広がらない窪みを形成するように、C46、O2及びArを有する第1のガス状混合物に基づくプラズマの使用によって前記基板をエッチングし、それにより変形された基板を形成するスッテップと、
前記窪みを前記第1の層に実質的に広げるように、C46、O2、C224及びArを有する第2のガス状混合物に基づくプラズマの使用によって前記変更された基板をエッチングするステップと、
有することを特徴とする方法。
【請求項69】
前記第1の層は、ホウ素リンシリケートガラスを有することを特徴とする請求項68に記載の方法。
【請求項70】
前記第2の層は、テトラエチルオルソシリケートを有することを特徴とする請求項68に記載の方法。
【請求項71】
前記第1と第2のガス状混合物は、別物であることを特徴とする請求項68に記載の方法。
【請求項72】
前記基板は、前記第2の層を介して部分的にのみ拡がる窪みを形成するように、前記第1のガス状混合物でエッチングされることを特徴とする請求項68に記載の方法。
【請求項73】
前記基板には、更に、ホトレジストを有する第3の層が設けられることを特徴とする請求項68に記載の方法。
【請求項74】
前記第2の層は、前記第1の層に隣接していることを特徴とする請求項68に記載の方法。
【請求項75】
物品であって、
基板と、
前記基板上に設けられた第1と第2のゲート構造体と、前記第1と第2のゲート構造体は、約0.25μm未満のギャップによって分離されており、
前記ゲート構造体と前記ギャップ上に設けられた窒化シリコンの層と、
前記窒化シリコンの層上に設けられたドープされた酸化物の層と、
前記ドープされた酸化物の層上に設けされたドープされない酸化物の層と、
を有することを特徴とする物品。
【請求項76】
前記ドープされた酸化物は、ホウ素リンシリケートガラスを有することを特徴とする請求項75に記載の物品。
【請求項77】
前記ドープされない酸化物は、テトラエチルオルソシリケートを有することを特徴とする請求項75に記載の物品。
【請求項78】
更に、前記ドープされない酸化物の層上に設けられた反射防止層を有することを特徴とする請求項75に記載の物品。
【請求項79】
更に、前記反射防止層上に設けられたホトレジスト層を有することを特徴とする請求項78に記載の物品。
【請求項80】
前記ホトレジスト層は、前記第1のギャップに重なる第2のギャップを含み、且つ前記第2のギャップの最小幅は、前記第1のギャップの最大幅より大きいことを特徴とする請求項78に記載の物品。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate


【公表番号】特表2006−501634(P2006−501634A)
【公表日】平成18年1月12日(2006.1.12)
【国際特許分類】
【出願番号】特願2003−553608(P2003−553608)
【出願日】平成14年12月12日(2002.12.12)
【国際出願番号】PCT/US2002/039906
【国際公開番号】WO2003/052808
【国際公開日】平成15年6月26日(2003.6.26)
【出願人】(500022096)アプライド マテリアルズ インコーポレイテッド (6)
【Fターム(参考)】