説明

簡略化されたミクロブリッジ形成及び粗さ解析

【課題】 本発明は、統計的欠陥処理手順、モデル、及びライブラリをリアルタイムで用いることによってプロセスの歩留まりを改善させる基板処理用装置及び方法を供する。
【解決手段】 本発明は、共有された統計に基づく分散データを用いて基板を処理する装置及び方法を供する。前記統計に基づく分散データは、マイクロブリッジ形成欠陥データ、LER欠陥データ、及びLWR欠陥データの決定に用いることのできる共有されたポリマー脱保護分散(PPDV)データを有して良い。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、基板処理に関し、より詳細には、統計に依存した欠陥処理手順及びデータを用いたプロセス歩留まりの改善に関する。
【背景技術】
【0002】
現在利用されているリソグラフィプロセスは、レジストの厚さを100nm未満にまで縮小させる一方で、解像度、ライン幅粗さ(LWR)、及び感受性(RLS)の性能間でのトレードオフの均衡をとるという課題に直面している。一部のレジスト材料供給者らは、解像度の問題と照射量の問題を解決してきたが、LWRの要求を解決することはできないままである。このため、産業界には、製造要件を満たす新たなハードウエア及びプロセスを設計できるように、RLSの性能間でのトレードオフのみならずLWRをもより深く理解することが求められている。
【0003】
基板上のデバイス内部の特徴部位(feature)及び/又は構造の数を増大させるため、多くの多重パターニング法が現在、半導体基板処理中に用いられている。多重パターニング法は、二重露光法、二重パターニング法、スペーサ法、マスク法、及びブルートフォース法(brute force technique)を有して良い。2006年に、半導体ロードマップについての国際技術ロードマップが、32nmリソグラフィの解決策になりうるものとして二重パターニングを含むように拡張された。多重パターニング法は、一部のデバイス製造者にとっては、極紫外(EUV)技術が十分に発展するまでに用いることのできるつなぎの解決策と見られている。
【0004】
集積回路(IC)の設計が縮小することで、リソグラフィの解像度の限界を押し上げている。リソグラフィ限界にまで押し上げられるとき、化学プロセスは、欠陥又はパターニングエラーに対してより敏感になる。IC製造者は歴史的に、設計規則を決定する手段として、リソグラフィシミュレーション及びSEMによるCD測定を利用することで、焦点及び照射量の許容範囲を決定してきた。マスクのサイズを決定するための光学近接補正は典型的には、絶対寸法を実現するためのCD SEM測定によって行われる。それに加えて、歩留まりの改善は現在、欠陥検査方法を用いて実行されている。その欠陥検査方法は、各IC層でのマスクに用いられるリソグラフィのシミュレーションに基づく方法とは一般的に別個である。
【先行技術文献】
【特許文献】
【0005】
【特許文献1】米国特許第7673278号明細書
【発明の概要】
【発明が解決しようとする課題】
【0006】
さらに、レジストパターンのホットスポットは、ブリッジ形成又はライン端部粗さの欠陥として現れる。そのような欠陥は、デバイスの機能する部分を短絡させ、プロセス速度/漏れに関して悪影響を及ぼし、又は、チップの長期間の信頼性を損ねる恐れがある。ブリッジ形成及びライン端部粗さの「ホットスポット」は一般的には、多数のプロセスのばらつき−たとえば焦点、照射量、温度ベーキング均一性、現像均一性等−があるためシミュレーションするのが難しい。正確なシミュレーションは一般的に、複雑なモンテカルロ計算を必要とする。そのような複雑なモンテカルロ計算は、全チップの電子回路設計自動化(EDA)シミュレーションを実行するのに相当な計算時間を必要とする。IC産業は一般的に、画像閾値法を用いて、高速光学計算から空間の「ホットスポット」を計算する。これらの閾値法の精度は、レジストプロセスを記載する校正されたレジストOPCモデルによって改善されてきた。しかし実際の製造環境で起こる多数の非理想的プロセス効果に対するレジスト応答については統計的に記載されていない。
【課題を解決するための手段】
【0007】
本発明は、統計的欠陥処理手順、モデル、及びライブラリをリアルタイムで用いることによってプロセスの歩留まりを改善させる基板処理用装置及び方法を供する。本発明は、IC設計についての一般的なライン端部粗さだけではなくパターンのホットスポットの故障率を定量化する単純な統計的アルゴリズムを供する。本発明は2つの問題を解決する。具体的には本発明は、1)画像閾値だけを用いる代わりにICパターンサイトについての故障率を決定する統計的方法を利用し、かつ2)現在のパターンに基づいた設計レイアウト接地アルゴリズムには含まれないライン端部粗さの簡略化された計算を可能にする。
【0008】
本発明は、マイクロブリッジ及びLWRのより基本的な理解を供する一方で、RLS性能間でのトレードオフを定量化する手段として、校正されたレジストシミュレーションを用いる。
【0009】
本発明は、「ハイブリッド」解析アルゴリズムを生成する。前記「ハイブリッド」解析アルゴリズムは、実験的に決定された「共有されたポリマー脱保護分散(pooled polymer de-protection variation)」(PPDV)パラメータを典型的な統計分布解に用いることで、特定のIC設計空間での故障率を計算する。本発明はまた、簡略化された関係を利用して、PPDVパラメータを用いることによって設計空間のブリッジ生成の故障率とライン端部の粗さとを結びつける。PPDVパラメータ及び簡略化されたアルゴリズムによって、IC設計レイアウト(設計規則)の実験的に校正された統計的最適化が、最高の歩留まりを実現することを可能にする。
【0010】
基本的な実験ライブラリ手法についてのさらなる情報については、特許文献1を参照のこと。本願は数学的アルゴリズムを供する。前記数学的アルゴリズムは、PPDVパラメータを用いて、ライン端部粗さを定量化するだけではなく、統計的なホットスポット故障率を計算する。前記PPDVパラメータは、全チップのシミュレーションを行うには数学的にあまりに大変なモンテカルロ法を実行することなく、実際のパターンプロセスのばらつきについての単純な説明を求めようとする。
【0011】
高開口数(NA)浸漬及びEUVリソグラフィプロセスは、22nm以下のノードについての厳しい制御要求を満たすという課題に直面している。リソグラフィプロセスは、解像度、LWR、及び感度(RLS)の性能間でのトレードオフの均衡を取らなければならない一方で、コーティング、ベーキング、及び現像を含むハードウエアモジュールは、レジストプロセスがRLS限界の均衡をとることを可能にしようとする。本願発明者らは、校正されたレジストシミュレーションと実験とを組み合わせることによって、RLSの性能間でのトレードオフの基礎を研究した。
【0012】
本発明の他の態様は、以降の詳細な説明及び図面から明らかとなる。
【図面の簡単な説明】
【0013】
【図1】本発明の実施例による処理システムの典型的なブロック図を表す。
【図2】本発明の実施例によるモデルとなるレジストのプラットフォームデータと実験データを表している。
【図3】AとBは、本発明の実施例によるシミュレーションデータと実験データを表す。
【図4】A-Cは、本発明の実施例によるシミュレーションデータを表す。
【図5】A-Cは、本発明の実施例による別なシミュレーションデータを表す。
【図6】AとBは、本発明の実施例による光酸発生剤(PAG)を加えたデータを表している。
【図7】A-Cは、本発明の実施例による光酸発生剤(PAG)を加えた別なデータを表している。
【図8】A-Eは、本発明の実施例による新たなシミュレーションデータを表している。
【図9】AとBは、本発明の実施例による典型的な補正データを表している。
【図10】本発明の実施例による典型的な欠陥を生じる恐れのある集積回路(IC)パターンを図示している。
【図11】AとBは、本発明の実施例による典型的なレジストの断面を図示している。
【図12】本発明の実施例による共有された分散データを決定する手順の典型的なフロー図を表している。
【図13】本発明の実施例による典型的な欠陥解析マップの第1典型部分を図示している。
【図14】本発明の実施例による基板処理手順の典型的なフロー図を表している。
【図15】本発明の実施例による基板処理手順の別な典型的なフロー図を表している。
【発明を実施するための形態】
【0014】
ここで本発明の実施例について、添付図面を参照しながら例示によって説明する。図中、対応する参照符号は対応する部材を表す。
【0015】
本発明は、193nm以下のポリマー系に与えられた光酸発生剤(PAG)の関数として、露光動特性、酸の拡散動特性、脱保護動特性、及び溶解動特性を表現する校正されたレジストモデルを生成する方法並びに装置を供する。本発明は、小さな寸法での画像コントラストに対するレジストコントラストの劣化のみならず解像度と感度の性能間でのトレードオフをも定量化する校正されたレジストモデルを用いる。
【0016】
今日用いられている校正されたレジストモデルは、解像度と感度との間でのトレードオフを定量化する能力を有するが、LWRをモデル化する能力を欠く。本願発明者は、LWRがスペクトル周波数に依存するので、LWRが(格子モデルの)シミュレーション及び測定を行う上で課題であることを分かっている。また本願発明者は、LWRの統計的特性をよりよく理解する手段として、マイクロブリッジ形成の実験及び履歴データからの統計データを利用する新規な手法を開発した。マイクロブリッジ形成解析は、スキャナ、トラック、及びレジストにわたる実際のばらつきを含む「離散的ブリッジ形成事象」の統計的分布を生成する。本発明を実行する手段として、マイクロブリッジ形成及びLWR実験が、45nmスペースの構造(90nmピッチ)上で1.2NAの浸漬系を用いることによって実行された。しかし上記の手法は、技術が成熟することで、EUVLプロセスの研究にも用いることができる。RLS性能間でのトレードオフの理解することで、利用者が、産業界のスケール縮小の目標を後押しする将来のハードウエア及びプロセスを開発することを可能にする。
【0017】
リソグラフィプロセスは、レジストの厚さを100nm未満しながらも、解像度、LWR、及び感度(RLS)の性能間でのトレードオフの均衡をとるという課題に直面している。集積回路組合(consortia)は、EUVLパターニングの容易さ(解像度≦32nm HP、LER≦4nm(3s)、及び照射量≦15mJ/cm2)を調べる手段として、RLS性能目標を作成した。一部のレジスト供給者が、解像度の要求と照射量の要求を満たす良好な進歩を成し遂げた一方で、本願発明者は、LWRの要求を同時に満たす材料は見つからないと信じている。このため、産業界にとっては、RLS性能間でのトレードオフのみならずLWRのより深い理解を有することが課題となる。その課題を解決することで、製造要求を満たす新たなハードウエア及びプロセスの設計が可能となる。本発明の実施例は、マイクロブリッジ形成及びLWRのより基本的な理解を供する一方でRLS性能間でのトレードオフの定量化を行う手段として、校正されたレジストシミュレーションを用いる。
【0018】
レジストの感度は、露光中での酸生成速度及びPEB中でのポリマー脱保護速度に依存する。酸の生成は、PAGのモル吸光係数及び量子効率に依存する。KrFとArFの露光波長では、PAGが与えられた膜の吸光係数の増大は一般的には、感度の増大に利用される。EUVL露光波長では、実際のエネルギー移送についての2次電子の依存性のみならず13.5nmでの材料の相対的な透過率に起因して、感度の増大は複雑となり、かつ、一般的な解決法は、2次電子のエネルギーを得るためにレジスト内に感光剤を入れるか、又は非常に多くのPAGを導入することで、量子効率を実効的に増大させるものであった。
【0019】
本願発明者は、酸の光化学的生成、及び、その酸の光化学的生成に対応したPEB中におけるポリマー上での酸に敏感な基との触媒反応が、LWRの生成にとって重要な因子であると信じている。
【0020】
一部の実施例では、リソグラフィシミュレーションが、データ及びそのデータに対応するプロセス許容範囲を明らかにする照射条件を生成するのに用いられて良く、かつ、画像化のための照射条件は、不十分なコントラスト(レジスト内における像の空中像強度対数勾配(NILS)〜0.3)から良好なコントラスト(レジスト内における像のNILS>1)へ遷移して良い。欠陥データが必要なときには、データ収集実験がテスト用レイアウトで行われることで、特定のトラックプロセス(レジスト、リンス、上部コーティング、現像、ベーキング)とシミュレーションデータとが関連づけられてよい。テスト用レイアウトは、密なピッチライン又はスペース(金属/STI、コンタクト、ビア)であるか、又は、ゲートメモリセルのラインアンドスペースのような2次元レイアウトであって良い。欠陥レベルは、各テスト条件について適切な設計レイアウト領域にわたって測定されることで、信頼性のある統計的データ(つまり欠陥/面積又は欠陥/スペースのランレングスデータ)を生成して良い。欠陥データは、シミュレーションによるコントラストデータと関連づけられることで、プロセス歩留まりと特定の集積回路寸法とを関連づけるのに用いることのできる校正曲線が供されて良い。コントラストデータは、1つ以上の画像化に係る摂動−たとえば開口数、部分干渉性、焦点、照射量、マスクサイズ、又は(たとえば減衰又は交互)マスク位相シフト技術−を用いて得られて良い。よってこの校正曲線は、スペース、ライン、又は穴(多角形端部)に関する設計規則を調節することで、特定の歩留まり目標を満たすため、EDAソフトウエアにおいて用いられて良い。具体的には、リソグラフィシミュレーションは、複雑な2D設計レイアウトに適用されることで、各多角形端部でのコントラストが決定される。これは、本発明の利用者によって定められて良い。コントラストデータが特定の閾値の地点未満である場合、多角形部分が修正されることで、新たな多角形領域が、閾値よりも大きなシミュレーションによるコントラストデータを生成する。閾値データは、プロセス歩留まり目標に基づくホットスポットに対する校正されたコントラストデータの曲線から決定される。このEDA法は、初期のプロセス開発での製造歩留まりの校正又は既に製造段階のプロセスのさらなる最適化に用いることのできる貴重な製造用設計手法である。
【0021】
本発明によって支持され、かつ本明細書において開示されているEDAソフトウエア手法のうちの1つは、設計レイアウトから得られるリソグラフィシミュレーションの画像パラメータ(IP)と測定されたホットスポットとを関連づける。前記測定されたホットスポットは、使用者の装置の組(スキャナ及びトラック)だけではなくその化学プロセス(レジスト選択、トラックベーキング、トラックリンス、トラック現像等)に対して固有である。それに加えて、IPに対するホットスポットの校正曲線は、プロセス歩留まりの挙動の統計上の顕著な特徴を供することができる。本発明に含まれる(面積又はランレングスあたりの)ホットスポット測定の統計的特性は、今日設計/マスクの規則を設定するのに従前より用いられている単なる個別的な計測よりも、はるかに大規模なプロセス(装置/処理)のばらつきを供する。それに加えて、ホットスポットをパターン化するための新たな種類の統計データを生成する新たなプロセス統合手法用に、プロセス校正曲線(画像パラメータに対するホットスポット)が生成されても良く、かつ、追加の統計データは、デュアルダマシンからの金属底部の位置設定データ、STIフィールド酸化膜ばらつきデータ、金属ゲート基板のばらつきデータ、浸漬リソグラフィデータ、及び/又は二重パターニングリソグラフィデータを有して良い。さらにホットスポット校正処理に対する1つ以上のIPが、特定の装置/処理についての歩留まり/耐久性を改善するのに用いることのできるPPDVデータを供して良い。一定の閾値条件(IP閾値)にてプロセスが実行されるとき、そのプロセスは、高コントラスト条件で動作するときに容易に分配できない小さな統計処理効果に対して非常に敏感になる。場合によっては、ホットスポットに対するIP曲線中の変曲点は、本発明に係るデータ収集処理中でのIP閾値条件として定義されて良く、かつ、各異なるIP閾値条件は、各異なる組の統計データについて、良好な画像データと劣悪な画像データとの間での各異なる選択点を設定するのに用いられて良い。たとえば良好な画像データに係る統計データの組は、歩留まりを改善するため、トラック、スキャナ、又は集積実験において用いられて良い。装置又は処理の条件は、統計データのこれらの組のうちの1組以上を用いることによって最適化されて良い。これらの最適化された条件は、より耐久性のあるプロセスとして適切な装置へ移送されることで、より高い製造歩留まりの製造プロセスを供することができる。
【0022】
レジストの厚さを100nm未満(EUVLの目標は50〜80nm)に減少させることで、その膜全体を介した酸の濃度に影響を及ぼしうる追加の変数が加わる。基板−レジスト界面及びレジスト−空気界面での表面エネルギー効果は、界面へ接近する又は界面から遠ざかるPAG偏析を有して良い。それに加えて、レジストと有機BARCとの相互混合により、レジスト膜の底部で取得可能なPAG又は酸の量を減らすことも可能である。これらの効果の大きさは典型的に、レジストプロファイルの上部又は底部では10〜15nmの範囲である。50nm膜の場合では、レジストの上部10nmと底部10nmの界面効果は、全体の画像性能に対して相当な効果を有すると考えられる。
【0023】
一部の例では、レジスト底部での酸及び反応の脱保護のレベルの相対的な減少が、LWRの主要な発生源として識別されて良い。実験データは、36nmハーフピッチにて多くのEUVLレジストプロファイルを有する。前記EUVLレジストプロファイルでは、プロファイルの表面粗さは、レジストプロファイルの上部から底部にかけて増大する。これらのレジストプロファイル像は、LWR問題を引き起こすレジスト膜の底部25%内での「酸の不足」問題及び対応する「脱保護反応」問題と一致する。
【0024】
本発明は、マイクロブリッジ解析を用いることによって、EUVLにとって必要とされる、小さな構造へのLWRの効果を理解する新規な手段を供する。空間構造の寸法が22nmにまで減少することで、本願発明者は、マイクロブリッジが、不十分なLWRの拡張として形成されるように見えると信じている。これまでは、LWRのスケールは、実際のプリントされた部位のスペースよりもはるかに小さかった。EUVLについては、ライン端部と開いたレジストスペースは非常に近接し、前記開いたレジストスペースのサイズは、LWRとマイクロブリッジとの間をつなげる可能性のあるものとして検討することができる。マイクロブリッジ欠陥解析は、LWRの局在化したばらつきと比較して、ウエハスケールでのばらつきの理解を供する。マイクロブリッジ形成は、大面積にわたって生じる故障率の統計的モデルに含めることのできる独立な事象である。たとえ画像コントラストとLWRレベルが相対的に一定であるとしても、たとえば大きなメモリ領域(10メガビット)のパターン欠陥解析は、100のブリッジ(10ppm)を示すと考えられる。このプロセスを観察することで、マイクロブリッジ形成とLWRとの関係の確率的性質についての知見が与えられる。
【0025】
本発明の一部の実施例は、レジストのコントラストにおける変化を介したLWRの制御に注目してきた。レジストのコントラストは従前、露光エネルギーの変化にわたる溶解速度の変化として定義されてきた。しかし本発明の一部の実施例は、画像コントラストとレジストコントラストとの比として定義されたコントラスト比を用いてきた。レジストコントラストは、レジストの動特性及び溶解応答を含む露光の許容範囲として計算される。画像コントラストはレジスト中の画像のNILSとして計算される。
【0026】
図1は、本発明の実施例による処理システムの典型的ブロック図を表している。図示された実施例では、処理システム100は、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、搬送サブシステム170、製造実行システム(MES)180、システム制御装置190、及びメモリ/データベース195を有する。単独のサブシステム(110、120、130、140、150、160、及び170)が例示された実施例には示されているが、これは本発明にとって必須ではない。実施例によっては、複数のサブシステム(110、120、130、140、150、160、及び170)が1つの処理システム100内で用いられて良い。それに加えて、複数のサブシステム(110、120、130、140、150、160、及び170)のうちの1つ以上は、ホットスポット関連の処理を実行するのに利用可能な1つ以上の処理装置を有して良い。
【0027】
システム制御装置190は、データ搬送サブシステム191を用いることによって、リソグラフィサブシステム110、露光サブシステム120、エッチングサブシステム130、堆積サブシステム140、検査サブシステム150、計測サブシステム160、及び搬送サブシステム170と結合して良い。システム制御装置190は、データ搬送サブシステム181を用いることによって、MES180と結合して良い。あるいはその代わりに他の構成が用いられても良い。たとえばエッチングサブシステム130、堆積サブシステム140、計測サブシステム160、及び搬送サブシステム170の一部は、東京エレクトロン株式会社から販売されているTactras(商標)の一部であって良い。
【0028】
リソグラフィサブシステム110は、1つ以上の搬送/格納装置112、1つ以上の処理装置113、1つ以上の制御装置114、及び1つ以上の評価装置115を有して良い。1つ以上の搬送/格納装置112は、1つ以上の処理装置113及び/又は1つ以上の評価装置115と結合して良く、かつ111を介して搬送サブシステム170と結合して良い。搬送サブシステム170は111を介してリソグラフィサブシステム110と結合し、かつ1枚以上の基板105は111を介して搬送サブシステム170とリソグラフィサブシステム110との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置112、1つ以上の処理装置113、及び/又は1つ以上の評価装置115と結合して良い。1つ以上の制御装置114は、1つ以上の搬送/格納装置112、1つ以上の処理装置113、及び/又は1つ以上の評価装置115と結合して良い。
【0029】
リソグラフィサブシステム110は、1つ以上のリソグラフィ関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データはリソグラフィサブシステム110を用いることによって収集されて良い。リソグラフィ関連プロセスのシーケンスは、コーティング処理、現像処理、熱処理、測定処理、検査処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、リソグラフィ関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、コーティング処理変数、現像処理変数、熱処理変数、測定処理変数、検査処理変数、位置合わせ処理変数、及び/又は被処理基板に係る他のリソグラフィ関連変数であって良い。それに加えて、DOE法が、実験的、設計規則チェック、並びに/又は、他のリソグラフィ関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0030】
露光サブシステム120は、1つ以上の搬送/格納装置122、1つ以上の処理装置123、1つ以上の制御装置124、及び1つ以上の評価装置125を有して良い。1つ以上の搬送/格納装置122は、1つ以上の処理装置123及び/又は1つ以上の評価装置125と結合して良く、かつ121を介して搬送サブシステム170と結合して良い。搬送サブシステム170は121を介して露光サブシステム120と結合し、かつ1枚以上の基板105は121を介して搬送サブシステム170と露光サブシステム120との間をリアルタイムで搬送されて良い。基板105はたとえば半導体基板、試料片、又は液晶ディスプレイ(LCD)であって良い。基板105は、半導体材料、炭素材料、誘電材料、ガラス材料、セラミック材料、金属材料、酸化物材料、マスク材料、若しくは平坦化材料、又はこれらの混合物を有して良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置122、1つ以上の処理装置123、及び/又は1つ以上の評価装置125と結合して良い。1つ以上の制御装置124は、1つ以上の搬送/格納装置122、1つ以上の処理装置123、及び/又は1つ以上の評価装置125と結合して良い。
【0031】
露光サブシステム120は1つ以上の露光関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データは露光サブシステム120を用いることによって収集されて良い。露光関連プロセスのシーケンスは、浸漬露光処理、乾式露光処理、紫外(UV)線露光処理、極紫外(EUV)線露光処理、熱処理、測定処理、検査処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、露光関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、浸漬露光変数、乾式露光変数、紫外(UV)線露光変数、極紫外(EUV)線露光変数、熱処理変数、測定処理変数、検査処理変数、位置合わせ処理変数、及び/又は被処理基板に係る他の露光関連変数であって良い。それに加えて、DOE法が、実験的、設計規則チェック、並びに/又は、他の露光関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0032】
エッチングサブシステム130は、1つ以上の搬送/格納装置132、1つ以上の処理装置133、1つ以上の制御装置134、及び1つ以上の評価装置135を有して良い。1つ以上の搬送/格納装置132は、1つ以上の処理装置133及び/又は1つ以上の評価装置135と結合して良く、かつ131を介して搬送サブシステム170と結合して良い。搬送サブシステム170は131を介してエッチングサブシステム130と結合し、かつ1枚以上の基板105は131を介して搬送サブシステム170とエッチングサブシステム130との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置132、1つ以上の処理装置133、及び/又は1つ以上の評価装置135と結合して良い。1つ以上の制御装置134は、1つ以上の搬送/格納装置132、1つ以上の処理装置133、及び/又は1つ以上の評価装置135と結合して良い。
【0033】
エッチングサブシステム130は1つ以上のエッチング関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データはエッチングサブシステム130を用いることによって収集されて良い。エッチング関連プロセスのシーケンスは、プラズマエッチング処理、非プラズマエッチング処理、アッシング処理、洗浄処理、トリミング処理、熱処理、測定処理、検査処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、エッチング関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、プラズマエッチング変数、非プラズマエッチング変数、アッシング変数、洗浄変数、トリミング変数、熱処理変数、測定処理変数、検査処理変数、位置合わせ処理変数、及び/又は被処理基板に係る他の露光関連変数であって良い。それに加えて、DOE法が、実験的、設計規則チェック、並びに/又は、他のエッチング関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0034】
堆積サブシステム140は、1つ以上の搬送/格納装置142、1つ以上の処理装置143、1つ以上の制御装置144、及び1つ以上の評価装置145を有して良い。1つ以上の搬送/格納装置142は、1つ以上の処理装置143及び/又は1つ以上の評価装置145と結合して良く、かつ141を介して搬送サブシステム170と結合して良い。搬送サブシステム170は141を介して堆積サブシステム140と結合し、かつ1枚以上の基板105は141を介して搬送サブシステム170と堆積サブシステム140との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置142、1つ以上の処理装置143、及び/又は1つ以上の評価装置145と結合して良い。1つ以上の制御装置144は、1つ以上の搬送/格納装置142、1つ以上の処理装置143、及び/又は1つ以上の評価装置145と結合して良い。
【0035】
堆積サブシステム140は1つ以上の堆積関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データは堆積サブシステム140を用いることによって収集されて良い。堆積関連プロセスのシーケンスは、物理気相成長(PVD)処理、化学気相成長(CVD)処理、イオン化物理気相成長(iPVD)処理、原子層堆積(ALD)処理、プラズマ原子層堆積(PEALD)処理、プラズマ化学気相成長(PECVD)処理、熱処理、測定処理、検査処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、堆積関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、PVD変数、CVD変数、iPVD変数、ALD変数、PEALD変数、PECVD変数、熱処理変数、測定処理変数、検査処理変数、位置合わせ処理変数、及び/又は被処理基板に係る他の露光関連変数であって良い。それに加えて、DOE法が、実験的、設計規則チェック(DRC)、並びに/又は、他の堆積関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0036】
検査サブシステム150は、1つ以上の搬送/格納装置152、1つ以上の処理装置153、1つ以上の制御装置154、及び1つ以上の評価装置155を有して良い。1つ以上の搬送/格納装置152は、1つ以上の処理装置153及び/又は1つ以上の評価装置155と結合して良く、かつ151を介して搬送サブシステム170と結合して良い。搬送サブシステム170は151を介して検査サブシステム150と結合し、かつ1枚以上の基板105は151を介して搬送サブシステム170と検査サブシステム150との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置152、1つ以上の処理装置153、及び/又は1つ以上の評価装置155と結合して良い。1つ以上の制御装置154は、1つ以上の搬送/格納装置152、1つ以上の処理装置153、及び/又は1つ以上の評価装置155と結合して良い。
【0037】
検査サブシステム150は1つ以上の検査関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データは検査サブシステム150を用いることによって収集されて良い。検査関連プロセスのシーケンスは、光学検査処理、赤外(IR)検査処理、UV検査処理、EUV検査処理、ビデオ検査処理、位置合わせ処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、検査関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、光学検査変数、IR検査変数、UV検査変数、EUV検査変数、ビデオ検査変数、位置合わせ処理変数、及び/又は被処理基板に係る他の検査関連変数であって良い。それに加えて、DOE法が、実験的、DRC、並びに/又は、他の検査関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0038】
計測サブシステム160は、1つ以上の搬送/格納装置162、1つ以上の処理装置163、1つ以上の制御装置164、及び1つ以上の評価装置165を有して良い。1つ以上の搬送/格納装置162は、1つ以上の処理装置163及び/又は1つ以上の評価装置165と結合して良く、かつ161を介して搬送サブシステム170と結合して良い。搬送サブシステム170は161を介して計測サブシステム160と結合し、かつ1枚以上の基板105は161を介して搬送サブシステム170と計測サブシステム160との間をリアルタイムで搬送されて良い。たとえば搬送サブシステム170は、1つ以上の搬送/格納装置162、1つ以上の処理装置163、及び/又は1つ以上の評価装置165と結合して良い。1つ以上の制御装置164は、1つ以上の搬送/格納装置162、1つ以上の処理装置163、及び/又は1つ以上の評価装置165と結合して良い。
【0039】
計測サブシステム160は1つ以上の計測関連プロセスのシーケンスを実行して良い。マイクロブリッジ欠陥データは検査サブシステム160を用いることによって収集されて良い。計測関連プロセスのシーケンスは、光学計測処理、IR計測処理、UV計測処理、EUV計測処理、CD-走査型電子顕微鏡(CDSEM)処理、透過型電子顕微鏡(TEM)処理、集束イオンビーム(FIB)処理、オプティカル・ディジタル・プロフィロメトリ(ODP)処理、原子間力顕微鏡(AFM)処理、位置合わせ処理、搬送処理、及び/又は記憶処理を有して良い。またマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきのデータは、計測関連プロセスのシーケンス中、収集、検証、評価、試験、更新、及び/又は記憶されて良い。マイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータは、光学計測変数、IR計測変数、UV計測変数、EUV計測変数、CDSEM変数、TEM変数、FIB変数、ODP変数、AFM変数、位置合わせ処理変数、及び/又は被処理基板に係る他の検査関連変数であって良い。それに加えて、DOE法が、実験的、DRC、並びに/又は、他の検査関連マイクロブリッジ欠陥データ、PPDVデータ、及び/若しくは他の統計的ばらつきデータを生成するのに用いることができる他の試験処理を設定するのに用いられて良い。
【0040】
搬送サブシステム170は、搬送トラック(175、176、及び177)と結合する搬送装置174を有して良い。搬送トラック(175、176、及び177)は、基板の受け取り、基板の搬送、基板の位置合わせ、基板の格納、及び/又は基板の停留に用いられて良い。たとえば搬送装置174は、2枚以上の基板を支持して良い。搬送サブシステム170は、基板の搬入、搬送、格納、及び/又は搬出を行って良い。その際には、処理シーケンス、処理時間、基板データ、基板上での位置の数、基板上での位置の種類、必要な位置の数、完了した位置の数、残った位置の数、若しくは信頼性データ、又はこれらの結合に基づく。たとえば基板上の位置の数は、ホットスポットの位置、標的位置、オーバーレイ位置、測定位置、検証位置、検査位置、若しくは損傷評価位置、又はこれらの組み合わせを有して良い。
【0041】
いくつかの例では、搬送サブシステム170は、どこにいつ基板を搬送するのかを決定するためにマイクロブリッジ欠陥データ、PPDVデータ、及び/又は他の統計的ばらつきデータを用いて良い。あるいはその代わりに他のデータ及び/又は他の処理が用いられても良い。たとえば基板の第1数が利用可能な処理装置の数以下であるとき、その第1数の基板は、搬送サブシステム170を用いることによって、その第1数の利用可能な処理装置へ搬送されて良い。基板の第1数が利用可能な処理装置の数よりも多いとき、一部の基板は、1つ以上の搬送/格納装置(112、122、132、142、152、及び162)及び/又は搬送サブシステム170を用いることによって、格納及び/又は停留されて良い。
【0042】
サブシステム(110、120、130、140、150、160、及び170)について動作状態データが設定されて良い。またマイクロブリッジ欠陥データが生成され、更新され、かつ/又は用いられるときには、動作状態データは使用及び/又は更新されて良い。それに加えて、搬送/格納装置(112、122、132、142、152、及び162)、処理装置(113、123、133、143、153、及び163)、及び評価装置(114、124、134、144、154、及び164)について動作状態データが設定されて良い。またマイクロブリッジ欠陥データが生成され、更新され、かつ/又は用いられるときには、動作状態データは更新されて良い。たとえば動作状態データは、利用可能性データ、処理装置についての一致データ、一部の処理工程及び/若しくは位置についての予想される処理時間、歩留まりデータ、処理装置についての信頼性データ及び/若しくは危険性データ、又は、1つ以上のホットスポット関連処理についての信頼性データ及び/若しくは危険性データを有して良い。更新された動作状態は、1つ以上の処理装置及び/又は1つ以上のサブシステムにリアルタイムで問い合わせることによって得られて良い。更新された搬送データは、1つ以上の搬送装置及び/又は1つ以上の搬送サブシステムにリアルタイムで問い合わせることによって得られて良い。
【0043】
1つ以上の制御装置(114、124、134、144、154、及び164)は、データ搬送サブシステム191を用いることによって、システム制御装置190及び/又は相互に結合して良い。あるいはその代わりに他の結合配置が用いられても良い。その制御装置は直列及び/又は並列に結合して良く、かつ1つ以上の入力ポート及び/又は1つ以上の出力ポートを有して良い。たとえばその制御装置は、1つ以上の処理装置を有する8ビット、16ビット、32ビット、及び/又は64ビットのプロセッサを有して良い。
【0044】
それに加えてサブシステム(110、120、130、140、150、160、及び170)は、イントラネット、インターネット、有線接続、及び/又は無線接続を用いることによって、互いに及び/又は他のデバイスと結合して良い。制御装置(114、124、134、144、及び190)は、必要に応じて外部デバイスと結合して良い。
【0045】
1つ以上の制御装置(114、124、134、144、154、164、及び190)は、リアルタイム欠陥関連処理を実行するときに用いられて良い。制御装置は、リアルタイムデータを受け取ることで、サブシステム、処理装置、プロセス、レシピ、プロファイル、像、パターン、シミュレーション、シーケンスデータ、及び/又はモデルデータを更新して良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、1つ以上の半導体製造装置通信スタンダード(SECS)メッセージを製造実行システム(MES)180又は他のシステム(図示されていない)とやり取りし、情報の読み取り及び/若しくは除去、情報のフィードフォワード及び/若しくはフィードバック、並びに/又はSECSメッセージとしての情報の送信を行うのに用いられて良い。1つ以上のフォーマットされたメッセージは制御装置間でやり取りされて良い。制御装置はメッセージを処理し、かつリアルタイムで新たなデータを引き出して良い。新たなデータが取得可能であるとき、その新たなデータは、基板及び/又はロットに現在用いられているモデル及び/又は処理をリアルタイムで更新するのに用いられて良い。たとえば現在の設計が検討される前にモデル及び/又は処理の更新が可能なときには、現在の設計は、更新されたモデル及び/又は処理を用いて検討されて良い。現在の設計が処理される前に更新ができないときには、現在の設計は、更新されていないモデル及び/又は処理を用いて検討されて良い。それに加えて、レジストが変化するとき、レジストモデルが変化するとき、処理シーケンスが変化するとき、設計規則が変更されるとき、又は設計が変更されるときには、定式化されたメッセージが用いられて良い。
【0046】
例によっては、MES180は、いくつかのサブシステム及び/又はシステムをリアルタイムで監視するように備えられていて良く、かつ工場レベルでの介入及び/判定が、どのプロセスが監視され、かつどのデータを使用できるのかを判断するのに用いられて良い。たとえば工場レベルでの介入及び/判定は、MLMIMO関連のエラー状態が生じたときにどのようにデータを運用するのかを判断するのに用いられて良い。MES180はまた、モデル化データ、処理シーケンスデータ、及び/又は基板データを供しても良い。
【0047】
それに加えて、制御装置(114、124、134、144、154、164及び190)は、必要に応じてメモリ(図示されていない)を有して良い。たとえばメモリ(図示されていない)は、情報及び制御装置によって実行される命令を格納するのに用いられて良く、かつ処理システム100の様々なコンピュータ/処理装置によって命令が実行されている間、暫定的な変数又は中間的な情報を格納するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)又は他のシステム構成装置は、コンピュータによる読み取りが可能な媒体からデータ及び/又は命令を読み取る手段、並びにコンピュータによる読み取りが可能な媒体へデータ及び/又は命令を書き込む手段を有して良い。
【0048】
処理システム100は、メモリ内に格納され、又はメッセージとして受け取られる1つ以上の命令からなる1つ以上のシーケンスを実行する処理システム内のコンピュータ/処理装置に応答して、本発明の処理工程の一部又は全部を実行して良い。そのような命令は、他のコンピュータ、コンピュータによる読み取り可能な媒体、又はネットワーク接続から受け取られても良い。
【0049】
実施例によっては、一体化されたシステムが東京エレクトロン株式会社(TEL)のシステム構成装置を用いるように備えられていて良く、かつ外部のサブシステム及び/又は装置が含まれても良い。1つ以上のサブシステム(110、120、130、140、150、160、及び170)は、エッチング装置、堆積装置、一体化された計測(IM)装置、原子層堆積(ALD)装置、測定装置、イオン化装置、研磨装置、コーティング装置、現像装置、洗浄装置、露光装置、及び熱処理装置のうちの1つ以上を有して良い。それに加えて、CD-走査型電子顕微鏡(CDSEM)システム、透過型電子顕微鏡(TEM)システム、集束イオンビーム(FIB)システム、オプティカル・ディジタル・プロフィロメトリ(ODP)システム、原子間力顕微鏡(AFM)システム、又は他の光学計測システムを有することができる測定装置が供されて良い。サブシステム及び/又は処理装置は、各異なるインターフェース要件を有して良い。制御装置は、これらの異なるインターフェース要件を満たすように備えられて良い。
【0050】
欠陥関連処理からの出力データ及び/又はメッセージは、プロセス正確さ及び精度を最適化する後続の処理に用いられて良い。データは、リアルタイム変数パラメータとして、欠陥関連処理へリアルタイムで受け渡されて良い。それにより現在のモデルの初期変数が上書きされて、正確な結果を決定するための探索空間が狭められる。リアルタイムデータは、ライブラリに基づくシステム、若しくは回帰分析に基づくシステム、又はこれらの結合システムと併用されることで、欠陥関連処理を最適化して良い。
【0051】
成功しなかった処理シーケンスは、限界を超えるときに、故障を報告することができる。成功した処理シーケンスは、限界に近づいているときに、警告メッセージを生成することができる。処理エラーについて予め特定された行為は、データベースに格納され、かつエラーが発生するときには、データベースから取得することが可能である。
【0052】
図2は、本発明の実施例によるモデルのレジストプラットフォームのデータ及び実験データを図示している。試験中、PAG付与量の関数として、レジストコントラスト比を劇的に減少させるレジストプラットフォームが求められた。コントラスト比は有用である。その理由は、コントラスト比は、画像に対するレジストコントラストの変化を定量化するからである。モデルレジストプラットフォームは、TOKによって供給されたメタクリラートポリマーとトリフェニルスルホニウム(TPS)ノナフラートPAGに基づく。ASML XT1250スキャナ(環状、0.85NA、so=0.93、si=0.69)及びTEL CLEAN TRACK LITHIUSシステムを用いて、4つのPAG付与(1x-4x)にわたって収集された実験CDデータから、コントラスト比が計算された。レジスト及びBARCはそれぞれ、90nm及び80nmの厚さでコーティングされた。たとえば、リソグラフィサブシステム110は1つ以上のCLEAN TRACK LITHIUSシステムを有して良く、かつ露光サブシステム120は1つ以上のASML XT1250スキャナを有して良い。
【0053】
図2に図示されているように、実験によるコントラスト比は、2xのレベルから4xのレベル(規格化されている)で増大した。実験データは、CD目標(NILS)が変化しないにもかかわらず、レジスト露光の許容範囲がPAG付与量と共に劇的に減少していることを示している。実験によるコントラスト比はまた、レジストコントラストが最小のCDサイズで増大するという特異な挙動をも示している。このモデルレジスト系におけるPAG付与量の調節は、レジストコントラスト比の関数としてのMBのレベルとLWRのレベルを試験的に検討する手段を供する。
【0054】
レジストコントラストを減少させる化学的効果及びプロセスの効果をよりよく理解する方法として、各PAG付与について校正されたレジストモデルが生成された。レジストモデルは、レジストシミュレーションと、図2に図示された実験データとを比較することによって、実証された。実証後、ASML XT1700及びTEL CLEAN TRACK LITHIUSi+を用いて、大規模なマイクロブリッジ形成データ及びLWR実験データが、45nmスペース/90nmピッチにて収集された。たとえば、リソグラフィサブシステム110は1つ以上のCLEAN TRACK LITHIUSi+システムを有して良く、露光サブシステム120は1つ以上のASML XT1700スキャナを有して良く、かつCLEAN TRACK LITHIUSi+システムは、CD及びプロファイルデータを供することのできる一体化した計測(IM)モジュールを有して良い。
【0055】
様々な例を用いることによって、レジストシミュレーションは、RLSの性能間でのトレードオフのみならずマイクロブリッジ形成及びLWRの傾向を説明するのに用いることができる。一部の例では、高NA浸漬実験が、本願発明の概念を示すのに用いられた。しかしその手法は、技術が成熟することで、EUVLプロセスの研究に用いられても良い。
【0056】
データ収集処理中、露光量データを用いることによって、レジストの動特性及び溶解モデルパラメータが、PAG付与量の関数として実験的に取り出されて良い。実験による動特性及び溶解速度データの中には、撮像速度(感度)が、PAG付与量の関数として増大することを示すものがある。本願発明者は、数学的フィッティングルーチンを用いることで、脱保護定数とクエンチャー反応速度定数は、PAG付与量に対して変化しなかった(つまり1次反応速度)と判断した。1次反応速度による反応であることを確認することで、パラメータが正確に取り出されたという信頼性が増大する。収集されたデータの中には、PAG付与量の増大によってモル吸光係数が増大することで、撮像速度が増大することを示したものがある。たとえば、撮像速度は、モル吸光係数と量子効率の積として計算されて良く、かつ、モル吸光係数が大きくなれば、光子1個あたりに生成される酸を増やすことが可能となる。本願発明者は、量子効率がPAGの付与量に対してほぼ一定であり、かつ、PAGの付与量が大きくなることで、PAGが相対的に透明であるEUVL波長での量子効率が増大しうることを観測した。一部の例では、高NA浸漬実験は、本発明の概念を示すのに用いられたが、他の非浸漬手法が、EUVLプロセス及び非EUVL処理に用いられても良い。
【0057】
レジストの物理シミュレーションを可能にするためには、酸及び塩基の拡散係数が必要となる。酸の拡散長が20nmで、かつ塩基の拡散長が15nmであると仮定することで、シミュレーションと実験との最初の比較が可能となる。ある範囲の酸と塩基の拡散レベルにわたるシミュレーションは、酸の拡散が、レジストコントラスト比に対して強い効果を有することを示している。酸の拡散長が20nmから100nmに増大する結果、コントラスト比は、0.9周辺から0.3まで減少する。拡散長が大きくなれば、より小さなCD(70nm vs 150nm)でコントラストは減少する。塩基拡散の増大は、レジストコントラスト比に大きな影響を及ぼさない(ただし最小のCDである65nmは除く)。実験データの中には、一部の場合(2xPAG)におけるレジストコントラスト比は実際に最小のCDで増大していることを示した。本願発明者は、レジストコントラストが実際に小さなCDサイズで増大しうることを予想しなかった。本願発明者は、拡散長の非常に短い塩基を用いることによって、65nmのCDでレジストコントラスト比を増大させることが可能であると予想した。それに加えて、本願発明者は、塩基拡散が低いことで、最小の拡散を有する塩基の「壁」が生成され、その結果65nmのCDサイズにて酸の勾配が高くなると予想した。
【0058】
本願発明者は、レジスト底端部での酸と反応脱保護のレベルが相対的に減少することがLWRの主要な原因と信じている。たとえば36nmハーフピッチでのEUVLレジストプロファイルの断面は、そのプロファイルの表面粗さが、そのレジストプロファイルの上部から底部へ向かって増大していることを示している。そのレジストプロファイル像は、LWRを生じさせる、そのレジスト膜の底部25%を洗浄するのに必要とされる酸と対応する脱保護反応が不足しているという考えと適合する。
【0059】
本願発明者は、EUVLにとっての目標である小さな構造へのLWRの影響を理解する新たな手法を供すると信じている。空間構造の寸法が22nmに減少することで、不十分なLWRの延長としてマイクロブリッジが形成されて現れる。従来、LWRのスケールは実際のプリント部位の空間よりもはるかに小さかった。EUVLについては、ライン端部と開口レジスト空間が非常に近接する。これにより、LWRとマイクロブリッジとの間に関連が生まれる。
【0060】
マイクロブリッジ解析は、LWRの局所的なばらつきと比較して、ウエハスケールでのばらつきの理解を供する。マイクロブリッジ形成は、大面積にわたって発生しうる、故障率の統計的モデルに含めることのできる独立の事象である。たとえば、画像コントラストとLWRのレベルが相対的に一定であるとしても、大きなメモリ領域(10メガビット)のパターン欠陥解析が100個のブリッジ(10ppm)を示すことも考えられる。このプロセス観察は、マイクロブリッジ形成及びそのLWRとの関係の統計的性質についての新たな知見を供する。本発明についての初期の仮説は、マイクロブリッジ形成及びLWRはレジストコントラストと共に増大するというものである。本発明は、レジストコントラストの変化を介してLWRを制御することに焦点を置いている。レジストコントラストは従前、露光エネルギー変化に対する溶解速度の変化と定義される。しかし本発明は、画像コントラストによって除されたレジストコントラストとして定義されるコントラスト比を用いている。レジストコントラストは露光の許容範囲として計算される。露光許容度には、レジスト動特性及び溶解応答が含まれる。画像コントラストはレジストNILS中の画像として計算される。
【0061】
図3A及び図3Bは、本発明の実施例によるシミュレーションと実験データを表している。様々な例では、処理システム100内の1つ以上のサブシステム(110,120,130,140,150)が、シミュレーションデータ及び/又は実験データを取得するのに用いられて良い。各PAG付与について酸と塩基の拡散係数を最適化することで、図3Aに示されたシミュレーション結果と図3Bに示された実験データとを非常に近く適合させることが可能となる。シミュレーションによるレジストコントラスト比の値は、実験において生じる多くの特徴を示す。2xPAGについてのコントラスト比が小さなCDで独立して増加している一方で、3x及び4xのPAGの場合はいずれも対照的に、大きなCDから小さなCDへ向かって徐々に減少しています。シミュレーションと実験データとのこのような比較から、本願発明者は、レジストモデルの精度についての確信を高めた。
【0062】
図4A-図4Cは、本発明の実施例によるシミュレーションデータを図示している。たとえば処理システム100内の1つ以上のサブシステム(110,120,130,140,150)が、このシミュレーションデータを取得するのに用いられて良い。図4Aは、2xPAGの例と4xPAGの例についての「レジスト内の像の空間プロファイル」データを図示している。図4Bは、2xPAGの例と4xPAGの例についての「現像された空間プロファイル」データを図示している。図4Cは、2xPAGの例と4xPAGの例についての「90nmスペース及び180nmピッチ」データを図示している。様々な例では、シミュレーションが実行されるときに、1つ以上の制御装置(114,124,134,144,154,164,190)が用いられて良い。レジストモデルの最終的な検証は、どの程度十分にレジストモデルがレジストプロファイルを予測しているのかを評価することである。180nmピッチ上での90nmスペースについての現像された空間プロファイルの初期レジストシミュレーションは、図4A-図4Cに図示されているように、ラインレジスト損失(4xPAG)だけでなくライン上部の丸み(2xPAG)も表せていないように見える。本願発明者は、この効果の原因と考えられる新たな機構を考えている。
【0063】
図5A-図5Cは、本発明の実施例による追加のシミュレーションデータを図示している。たとえば処理システム100内の1つ以上のサブシステム(110,120,130,140,150)が、この追加のシミュレーションデータを取得するのに用いられて良い。図5Aは、2xPAGの例と4xPAGの例についての追加の「脱保護プロファイル」データを図示している。図5Bは、2xPAGの例と4xPAGの例についての追加の「現像された空間プロファイル」データを図示している。図5Cは、2xPAGの例と4xPAGの例についての追加の「90nmスペース及び180nmピッチ」データを図示している。図5A-図5Cに図示された追加のレジストプロファイルシミュレーションは、PAG偏析が、レジストにおける追加の丸みと上部損失の原因として現れていることを示している。TPS−ノナフレートPAGは、レジスト表面へのマイグレーション及び空気−レジスト界面でのPAG濃度の増大を生じさせる可能性を有する。これらの界面効果は、全レジストプロファイルのうちの高い割合に影響を及ぼす恐れがある薄膜(<100nm)においてPAG偏析の効果はより顕著になる。図5A-図5Cに図示された追加のレジストプロファイルシミュレーションは、表面のPAG濃度が、PAG付与量(2x〜4x)の関数として増大することを示している。このことは、4xの場合において上部の損失量が大きくなることを説明している。断面プロファイルを用いることによって、膜中でのPAG分布は、PAG付与量の関数としてのレジストモデルの全校正を完全にするように調節された。
【0064】
他のデータ収集処理では、45nmライン/90nmピッチの構造を有する密なアレイパターンの像が生成されたウエハ上で、マイクロブリッジ形成及びLWRの測定が行われた。ウエハは、ASML XT1700スキャナ(C-quad,1.2NA,so=0.97,si=0.85)及びCLEAN TRACK LITHIUSi+システム(レジスト厚さ=90nm及びBARC厚さ=85nm)を用いて処理された。たとえば、リソグラフィサブシステム110は1つ以上のCLEAN TRACK LITHIUSi+システムを有して良く、露光サブシステム120は1つ以上のASML XT1700スキャナを有して良く、かつCLEAN TRACK LITHIUSi+システムは、CD及びプロファイルデータを供することのできる一体化した計測(IM)モジュールを有して良い。
【0065】
4xPAGの場合を除いて1x〜3xまでのレジストPAG付与量が試験された。4xPAGの場合を除いた理由は、4xPAGの場合は、45nmスペースの分解能を得ることができない懸念があったからである。LWR測定は、日立9300SEMを用いて、かつデモクリトス(Demokritos)ソフトウエアを用いて解析された。たとえば計測サブシステム160は日立9300SEMを有して良い。各LWR測定は、構造のランレングスにわたって動く150枚の画像の解析を含む。マイクロブリッジが形成されたウエハが、KLA検査システム(ウエハ1枚あたり394.923cm2の検査面積)を用いて検査された。たとえば検査サブシステム150はKLA2800検査システムを有して良い。ブリッジ形成欠陥の実際の個数をSEMでの評価が完了した。
【0066】
図6A及び図6Bは、本発明の実施例による光酸発生剤(PAG)付与のデータを図示している。図6Aは各異なるPAG付与値(1x-3x)についてのLWRデータを図示している。図6Bは各異なるPAG付与値(1x-3x)についてのブリッジ形成データを図示している。図6AのLWRデータは、LWRのレベルがPAG付与の増大に伴って減少することを示している。図6Bのマイクロブリッジ形成のデータは、マイクロブリッジ形成のレベルはPAG付与量の増大に伴って減少することを示している。PAG付与量の増大に伴って、4つのサイト(600枚の画像)にわたる平均のLWRが8.3nm(3s)から6.5nm(3s)にまで落ち込む一方で、マイクロブリッジ形成レベルは375から95にまで落ち込んだ。LWRにおける空間的変化の解析結果は、PAG付与量が増大することで、LWRの高周波数成分が減少していることを示している。LWRとマイクロブリッジ形成のいずれも、PAG付与量の関数としての漸近的減少に従う。落ち込みの程度が異なっているとはいえ、実験データは、LWRとマイクロブリッジ形成が小さな空間寸法にて同様のプロセス感受性を有することを示している。
【0067】
実験データは、LWRとマイクロブリッジ形成のレベルがレジスト内での化学変化による影響を受ける恐れがあることを示している。追加の実験が、このLWRの傾向を説明する基本的な機構を決定するために実行された。LWR実験データに影響も及ぼさず、又は相関もしない変数を決定するために初期実験が行われた。
【0068】
図7A-図7Cは、本発明の実施例による追加のPAG付与量データを図示している。図7Aは各異なるPAG付与値(1x-3x)についてのコントラスト比のデータを図示している。図7Aのコントラスト比のデータは、コントラスト比の値がPAG付与量の増大に伴って減少することを示している。図7Bは、各異なるPAG付与値(1x-3x)についての側壁角(°)データを図示している。図7Bの側壁角(°)データは、側壁角(°)がPAG付与量の増大に伴って減少することを示している。図7Cは、各異なるPAG付与値(1x-3x)についての単位面積あたりの照射量(mJ/cm2)データを図示している。図7Cの単位面積あたりの照射量(mJ/cm2)データは、PAG付与量の増大に伴って減少していることを示している。実験データを検討して、本願発明者は、PAG付与に伴うLWRの減少が、図7A-図7Cに図示された実験データのレジストコントラスト比、単位面積あたりの照射量、又はレジストプロファイルの物理的形状と相関しなかったことを知った。1xのPAGレジストでは、レジストコントラスト比の実験データ、散乱分光によって測定された側壁角、及び単位面積あたりの照射量は最高だったが、LWRは最悪だった。これらの実験結果、本願発明者は、PAG付与量がLWR改善の実際の主要因と判断することは困難だと考えた。さらなる解析の間、本願発明者は、ライン端部及びレジストプロファイル底部で生成される酸の濃度が高くなることで、LWRとマイクロブリッジ形成を減少させると判断した。
【0069】
図8A-図8Eは、本発明の実施例による新たなシミュレーションデータを図示している。本願発明者は、校正されたレジストモデルを用いた新たな酸生成シミュレーションを実行するのに用いられた新たなシミュレーションソフトウエアを開発した。図8Aは、新たな酸生成シミュレーション中に用いられる典型的な酸の濃度分布を図示している。図8Bは、第1PAG付与値(1xPAG)についての第1シミュレーションによる隠れた酸の濃度値の第1典型プロットを図示している。図8Cは、第2PAG付与値(2xPAG)についての第2シミュレーションによる隠れた酸の濃度値の第2典型プロットを図示している。図8Dは、第3PAG付与値(3xPAG)についての第3シミュレーションによる隠れた酸の濃度値の第3典型プロットを図示している。図8B、図8C、及び図8Dに図示されたシミュレーションデータは、PAG付与量が増大するため、空間内に生成される酸が増大することを示している。図8Eは、第4PAG付与値(3xPAG+クエンチャー)についての第4シミュレーションによる隠れた酸の濃度値の第4典型プロットを図示している。図8Eに図示されたクエンチャーが加えられた場合の酸の濃度シミュレーションは、レジスト空間プロファイルの底部(30%)にて酸の少なくなり、かつより「ぼやける」ことを示している。このことは、典型的なレジストプロファイル上の粗さの位置に相関する。新たな酸生成シミュレーションからの第2観察結果は、空間の底部に対して空間の上部にてより多くの酸が生成されることである(クエンチャー反応の有無にかかわらず)。
【0070】
図9A及び図9Bは、本発明の実施例による典型的な相関データを図示している。図9Aは、クエンチャーと拡散の値がシミュレーションに含まれるときのシミュレーションによる酸のプロファイルについての追加の典型的プロットを図示している。図9Bは、各異なるPAG付与値(1x-3x)についての規格化されたLWR関連データを図示している。2つの異なるデータ組が示されている。第1組のデータはLWRについての関係を図示している。第2組のデータは、1/√(酸濃度)についての関係を図示している。本願発明者による計算は、図9A及び図9Bに図示されているように、LWRは1/√(酸濃度)とほぼ相関することを支持している。
【0071】
本発明の一の「基本構想の証明」を示す際、酸の積分に係る指定面積は、幅45nm×高さ20nmに設定された。これは、図9Aに図示されたシミュレーションにおけるぼやけに対応する。指定面積の計算により、酸は明らかに、クエンチャー反応と拡散ステップを含むPAG付与量の関数として増大していることが明らかになった。このことは、酸の濃度が高くなることでLWRが減少しているという仮説を支持するものである。
【0072】
本願発明者は、LWRと酸の濃度との間の関係が、LWRが改善されたことを示す実験結果のほとんどと一致すると信じている。LWRを改善する手段としてより多くの酸を生成するために高露光照射量を利用するプロセスが数多く存在する。大きなレクチル構造によって細い線(つまりゲート)を過剰露光することで、より多くの酸が生成され、かつ一般的にはLWRが低下する。クエンチャーが加えられたことで露光照射量が高くなり、バックグラウンドとして存在する塩基を超える酸が生成される。本願発明者はまた、クエンチャーも酸の隠れた像の端部を「捕らえる」ことで、より高解像度でかつより良好なライン端部の酸の勾配の実現を可能にする。最終的には、画像コントラスト(NILS)が高くなることで、レジスト空間プロファイルの底部での酸の総量を増大させながらも、酸のライン端部の勾配が改善される。LWR改善を実際に示すものは全て、レジスト膜中の隠れた酸のプロファイルに関係するということは矛盾しない。
【0073】
様々な例では、シミュレーション及び実験は、EUVLパターニングプロセスの開発にとって重要な、解像度、LWR、及び感度(RLS)間でのトレードオフの定量化に用いられてきた。校正されたレジストシミュレーションは、レジストプロセスにおいて生じる複雑な化学的相互作用を説明する手段を供する。一部の実施例では、メタクリラートレジストを用いたシミュレーションは、PAG付与量の増大が、解像度を劣化させる(高い酸の濃度)ものの、感度(高いモル吸光係数)を改善し、かつLWR(高い酸の濃度)をも改善する。これらの結論がこの特定のPAG及びレジストプラットフォームに限定される一方で、本発明の教示は、EUVLのRLSプロセスに係る課題を解決する方法を確立するのに用いることができる。
【0074】
LWR及びマイクロブリッジ形成(MB)のレベルはいずれも、レジスト膜中の酸の濃度及び分布に関連するように見える。本発明はまた、LWR及びMBが、露光照射量等の一般的な物理量と比較して、酸の濃度及び勾配に対してより普遍的に関連することを教示している。サイズの縮小が続くことで、マイクロブリッジ形成及びLWRの傾向は、部位のスペースとライン端部が近接することに起因して、さらに一致する可能性がある。
【0075】
シミュレーションは、ハードウエアと新たなEUVLレジスト材料との間での相互作用をより正確な理解を供するのに用いられてきた。これらのシミュレーションは、パターニング性能を改善する可能性を有する新たな材料のコンセプトの基本的な利点−たとえばポリマーに束縛されたPAG、低い酸の拡散、及び感光性の高いレジスト材料−を評価することが可能である。50〜80nmの薄膜としてコーティングされるこれらの新たな材料の集積には、パターンの破壊を防止するためにレジストのライン安定性を最大化する一方で、PAGの偏析効果を最小限に抑制する材料の物理的特性についての深い理解が求められる。プロセス、ハードウエア、及び材料の集積は、EUVLのRLS要件を満たすことが求められる。
【0076】
図10は、本発明の実施例による典型的な欠陥を有する恐れのある集積回路(IC)パターンを図示している。図示された実施例では、6つの異なる欠陥を有する恐れのあるICパターン(1010-1060)が図示されている。第1の欠陥を有する恐れのあるICパターン1010は、第1ライン1011及び該第1ライン1011と実質的に垂直な第2ライン1012を有して良い。それに加えて、第1ライン1011と第2ライン1012との間には分離領域1013が存在して良く、かつ分離領域1013を取り囲むように典型的な解析部1015が図示されている。それに加えて、典型的な解析部1015は、第1の欠陥を有する恐れのあるICパターン1010内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、第1ライン1011の長さと第2ライン1012の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1013の幅は約10nm〜500nmまで変化して良い。
【0077】
第2の欠陥を有する恐れのあるICパターン1020は、第1ビア1021及び該第1ビア1021に実質的に近接する他のライン1022を有して良い。それに加えて、第1ビア1021と他のライン1022との間には分離領域1023が存在して良く、かつ分離領域1013を取り囲むように典型的な解析部1025が図示されている。それに加えて、典型的な解析部1025は、第2の欠陥を有する恐れのあるICパターン1020内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、第1ビア1021の長さと他のライン1022の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1023の幅は約10nm〜500nmまで変化して良い。
【0078】
第3の欠陥を有する恐れのあるICパターン1030は、第1角部1031及び該第1角部1031に実質的に近接する他のライン1032を有して良い。それに加えて、第1角部1031と他のライン1032との間には分離領域1033が存在して良く、かつ分離領域1033を取り囲むように典型的な解析部1035が図示されている。それに加えて、典型的な解析部1035は、第3の欠陥を有する恐れのあるICパターン1030内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、第1角部1031の長さと他のライン1032の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1033の幅は約10nm〜500nmまで変化して良い。
【0079】
第4の欠陥を有する恐れのあるICパターン1040は、第1ライン1041及び該第1ライン1041に実質的に同一線上にある第2ライン1042を有して良い。それに加えて、第1ライン1041と第2ライン1042との間には分離領域1043が存在して良く、かつ分離領域1043を取り囲むように典型的な解析部1045が図示されている。それに加えて、典型的な解析部1045は、第4の欠陥を有する恐れのあるICパターン1040内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、第1ライン1041の長さと第2ライン1042の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1043の幅は約10nm〜500nmまで変化して良い。
【0080】
第5の欠陥を有する恐れのあるICパターン1050は、第1ライン1051及び該第1ライン1051に実質的に近接する第2ライン1052を有して良い。それに加えて、第1ライン1051と第2ライン1052との間には分離領域1053が存在して良く、かつ分離領域1053を取り囲むように典型的な解析部1055が図示されている。それに加えて、典型的な解析部1055は、第5の欠陥を有する恐れのあるICパターン1050内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、ライン(1051と1052)の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1053の幅は約10nm〜500nmまで変化して良い。
【0081】
第6の欠陥を有する恐れのあるICパターン1060は、第1ライン1061及び該第1ライン1061に実質的に近接する第2角部1062を有して良い。それに加えて、第1ライン1061と第2角部1062との間には分離領域1063が存在して良く、かつ分離領域1063を取り囲むように典型的な解析部1065が図示されている。それに加えて、典型的な解析部1065は、第6の欠陥を有する恐れのあるICパターン1060内に欠陥が存在するか否かを判断するのに用いられて良い。たとえば、第1ライン1061の長さ第2角部1062の長さは約10nm〜1000nmまで変化して良く、かつ分離領域1053の幅は約10nm〜500nmまで変化して良い。
【0082】
他の例では、他のICパターンが用いられて良く、かつこれらの他のICパターンは様々な位置に設けられて良く、様々な形状を有して良く、角部及び/又は増大部を有して良い。
【0083】
図11A及び図11Bは、本発明の実施例による典型的なレジスト断面積を図示している。図11A及び図11Bに図示された典型的なレジスト断面積は、プロファイル底部(酸が少ない)に対してプロファイル上部(酸が多い)で粗さが小さくなっていることを示している。レジストプロファイル内部での酸の局所的な定量化を行うことで、実験データによるLWR及びマイクロブリッジ形成の傾向に対するより直接的な関係が供される。本発明に含まれる新たなシミュレーションソフトウエハは、レジストのスペース及びライン端部でのクエンチャー反応並びに酸の拡散を含む酸の濃度を積算するのに用いられる。
【0084】
図11Aは、本発明の実施例による第2の典型的な欠陥解析部を図示している。図示された実施例では、典型的なICパターン1105Aを有する典型的な欠陥解析部1100Aが図示されている。本発明の例及び説明を簡明にするため、並列ラインのICパターン1105Aが図示されている。たとえば、他のICパターンが用いられて良く、かつ他のICパターンが、各異なる位置に設けられて良く、各異なる形状を有して良く、角部及び/又は増大部を有して良い。ICパターン1105Aは、第1方向に延びる複数の第1ライン部1110A及び複数の第2ライン部1120Aを有して良い。複数の第2ライン部1120Aもまた前記第1方向に延びていて良い。ICパターン1105Aは複数のスペース領域1130Aを有して良い。複数のスペース領域1130Aは、第1ライン部1110Aと第2ライン部1120Aとの間に設けられ、かつ前記第1方向に延びて良い。他の実施例では、第1ライン部1110A、第2ライン部1120A、及び/又は第1スペースは異なる構成をとっても良い。
【0085】
第1ライン部1110Aは第1長さ及び第1幅を有して良い。第2ライン部1120Aは第2長さ及び第2幅を有して良い。第3ライン部1130Aは第3長さ及び第3幅を有して良い。前記第1長さは約10nm〜約1000nmまで変化して良い。前記第1幅は約10nm〜約500nmまで変化して良い。前記第2長さは約10nm〜約1000nmまで変化して良い。前記第2幅は約10nm〜約500nmまで変化して良い。前記第3長さは約10nm〜約1000nmまで変化して良い。前記第3幅は約10nm〜約500nmまで変化して良い。
【0086】
それに加えて、典型的な欠陥解析部1100Aは、内部に欠陥が存在する可能性のない少なくとも1つの解析部1160A及び内部に少なくとも1つの欠陥(マイクロブリッジ欠陥)を有する可能性のある他の解析部1160A’を有して良い。典型的な欠陥解析部(1160A,1160A’)はある長さ及び幅を有して良い。前記長さは約10nm〜約1000nmまで変化して良い。前記幅は約10nm〜約1000nmまで変化して良い。あるいはその代わりに、典型的な欠陥解析部(1160A,1160A’)は各異なる形状及び/又は各異なる寸法を有して良い。
【0087】
図11Bは、本発明の実施例による他の典型的な欠陥解析部を図示している。図示された実施例では、第2の典型的なICパターン1105Bを有する他の典型的な欠陥解析部1100Bが図示されている。本発明の例及び説明を簡明にするため、並列ラインのICパターン1105Bが図示されている。たとえば、他のICパターンが用いられて良く、かつ他のICパターンが、各異なる位置に設けられて良く、各異なる形状を有して良く、角部及び/又は増大部を有して良い。第2のICパターン1105Bは、第1方向に延びる複数の第1ライン部1110B及び複数の第2ライン部1120Bを有して良い。複数の第2ライン部1120Bもまた前記第1方向に延びていて良い。第2のICパターン1105Bは複数の第2スペース領域1130Bを有して良い。複数のスペース領域1130Bは、第1ライン部1110Bと第2ライン部1120Bとの間に設けられ、かつ前記第1方向に延びて良い。他の実施例では、第1ライン部1110B、第2ライン部1120B、及び/又は第2スペース1130Bは異なる構成をとっても良い。
【0088】
さらに図11Bを参照すると、第1ライン部1110Bは第1長さ及び第1幅を有して良い。第2ライン部1120Bは第2長さ及び第2幅を有して良い。第2スペース領域1130Bは第3長さ及び第3幅を有して良い。前記第1長さは約10nm〜約1000nmまで変化して良い。前記第1幅は約10nm〜約500nmまで変化して良い。前記第2長さは約10nm〜約1000nmまで変化して良い。前記第2幅は約10nm〜約500nmまで変化して良い。前記第3長さは約10nm〜約1000nmまで変化して良い。前記第3幅は約10nm〜約500nmまで変化して良い。
【0089】
それに加えて、典型的な欠陥解析部1100Bは、内部に欠陥が存在する可能性のない少なくとも1つの解析部1160B及び内部に少なくとも1つの欠陥(LER欠陥)を有する可能性のある他の解析部1160B’を有して良い。典型的な欠陥解析部(1160B,1160B’)はある長さ及び幅を有して良い。前記長さは約10nm〜約1000nmまで変化して良い。前記幅は約10nm〜約1000nmまで変化して良い。あるいはその代わりに、典型的な欠陥解析部(1160B,1160B’)は各異なる形状及び/又は各異なる寸法を有して良い。
【0090】
図12は、本発明の実施例による共有された分散データを決定する処理の典型的なフローダイアグラムを図示している。図示された実施例では、共有されたポリマー脱保護(PPDV)分散を生成するデータ処理1200が示されている。あるいはその代わりに、他の共有された分散データ及び関連モデルが生成されて良く、かつ異なる手順を有する他の処理が用いられても良い。
【0091】
1210では、i番目の欠陥を有する恐れのある集積回路(IC)パターンが、欠陥を有する恐れのあるI種類の異なるICパターン(1010-1060、図10)からなる群から選ばれて良く、かつ欠陥を有する恐れのあるI種類のICパターン(1010-1060、図10)についてI種類のPPDVデータの組が収集されて良い。たとえば、i=1,2,・・・で、かつIは1よりも大きな整数であって良い。1つ以上の制御装置(114,124,134,144,154,164,及び190)が、履歴データ及び/又はリアルタイムデータを用いることにより、欠陥を有する恐れのあるi番目のICパターンを選択するように備えられて良い。一部の例では、図10に図示された欠陥を有する恐れのあるICパターンのうちの1つ以上が選択及び使用されて良い。他の例では、図11Aと図11Bに図示された欠陥を有する恐れのあるICパターン(1105Aと1105B)が選択及び使用されて良い。さらに他の例では、図13に図示されたICパターン(1305)が使用されて良い。あるいはその代わりに他のICパターンが使用されても良い。それに加えて、欠陥を有する恐れのあるICパターンのうちの1つ以上が選択及び/又は解析されることで、マイクロブリッジ形成データ、LWRデータ、及び/又はLERデータが供されて良い。
【0092】
1215では、(j番目)iの組のプロセスパラメータは、Ji種類の組のプロセスパラメータからなる群から選ばれて良く、かつJi種類の異なるデータ収集プロセス手順が、Ji種類の異なる組のプロセスパラメータを用いて設定されて良い。一部の実施例では、1つ以上の組の設計規則が、各異なる組のプロセスパラメータを生成するのに用いられて良い。他の実施例では、実験計画(DOE)データが、各異なる組のプロセスパラメータを生成するのに用いられて良い。たとえばj=1,2,・・・Jiで、Jiは1よりも大きな整数であって良い。1つ以上の制御装置(114,124,134,144,154,164,及び190)が、履歴データ及び/又はリアルタイムデータを用いることにより、ji番目の組のプロセスパラメータを選択するように備えられて良い。一部の例では、欠陥を有する恐れのあるI種類の異なるICパターンについてji番目の組のプロセスパラメータが選択及び/又は解析されることで、マイクロブリッジ形成データ、LWRデータ、及び/又はLERデータが供されて良い。様々な欠陥解析処理中、ji番目の組のプロセスパラメータは、露光関連のプロセスパラメータ、リソグラフィ関連のプロセスパラメータ、堆積関連のプロセスパラメータ、熱処理関係のプロセスパラメータ、エッチング関連のプロセスパラメータ、測定関連のプロセスパラメータ、検査関連のプロセスパラメータ、若しくは装置に固有のプロセスパラメータ、又は上記の組み合わせを有して良い。それに加えてプロセスパラメータは、照射条件、照射量データ、焦点データ、時間データ、及び位置データを有して良い。
【0093】
1220では、kij番目のデータ収集用基板(Sijk)が、Kij枚のデータ収集用基板からなる群から選ばれて良く、かつkij番目のデータ収集用基板(Sijk)は、ji番目の組のプロセスパラメータのうちの1つ以上を用いて処理されて良い。Kij種類の組の欠陥データの処理前、該処理中、及び/又は該処理後に、処理されるkij番目のデータ収集用基板(Sijk)についてKij種類の欠陥データが収集されて良い。たとえば、kij=1,2,・・・Kijで、かつi=1,2,・・・I、j=1,2,・・・Ji、かつKijは1よりも大きな整数であって良い。他の実施例では、ji番目の組のプロセスパラメータは、1つ以上のマスク生成処理、1つ以上の堆積処理、1つ以上のコーティング処理、1つ以上の熱処理、1つ以上の注入処理、1つ以上のドーピング処理、1つ以上の露光処理、1つ以上の現像処理、1つ以上のリソグラフィ関連処理、1つ以上の露光関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の記憶処理、1つ以上の搬送処理、1つ以上のモデル化処理、1つ以上の洗浄処理、又は上記の組み合わせを決定するのに用いられて良い。
【0094】
処理システム100は、kij番目のデータ収集用基板(Sijk)を処理するのに用いられて良い。一部の実施例では、1つ以上のデータ収集用基板(Sijk)が1つ以上の搬送サブシステム170によって受け取られ、かつ搬送サブシステム170は1つ以上の基板(Sijk)を、処理システム100内の1つ以上のサブシステム(110,120,130,140及び150)へ搬送して良い。1つ以上のサブシステム(110,120,130,140,150,160,及び170)は処理シーケンス中に1回以上使用されて良い。各サブシステムは1つ以上の基板(Sijk)を直列及び/又は並列に処理して良い。それに加えて、1つ以上の処理装置(113,123,133,143,153,及び163)が処理シーケンス中に1回以上使用されて良く、かつ各処理装置は1つ以上の基板(Sijk)を直列及び/又は並列に処理して良い。他の実施例では、搬送サブシステム170は、1つ以上の基板(Sijk)を1つ以上の外部サブシステム(図示されていない)へ搬送するのに用いられて良い。
【0095】
1225では、1つ以上の被処理データ収集用基板(Sijk)から1つ以上の光学像が取得されて良い。一部の実施例では、1つ以上の被処理データ収集用基板(Sijk)は1つ以上の搬送サブシステム170によって受け取られ、かつ搬送サブシステム170は、1つ以上の被処理データ収集用基板(Sijk)を、処理システム100内の検査サブシステム150及び/又は計測サブシステム160へ搬送して良い。他の実施例では、1つ以上の外部サブシステム(図示されていない)から光学データが取得されて良い。
【0096】
一部の実施例では、Lijk種類の強度の異なる領域/スポットからなる群が、被処理データ収集用基板(Sijk)から取得された光学像中で特定されて良く、かつLijk種類の解析部からなる群が、Lijk種類の強度の異なる領域/スポットからなる群を解析するように設定されて良い。一部の例では、強度の異なる領域/スポットは、欠陥を有するICパターンが関係している可能性の高い「高強度領域/スポット」又は欠陥を有するICパターンが関係している可能性の低い「低強度領域/スポット」に分類されて良い。他の例では、強度の異なる領域/スポットは、欠陥を有するICパターンが関係している可能性の高い「高強度暗視野」又は欠陥を有するICパターンが関係している可能性の低い「低強度暗視野」に分類されて良い。それに加えて、「ホットスポット」又は他の光学差が用いられても良い。それに加えて、計算中に用いられる強度スポットのサイズ、形状、強度、及び/又は位置は変化して良い。たとえば使用者は、最初に従来の画像閾値法を用いることで、IC設計におけるホットスポット位置(たとえば上部の10の位置)を特定する選択をして良い。続いて本願の統計的解析手法は、それらのスポットについての実際の故障率を決定するのに用いられて良い。これにより、従来の閾値と新たなハイブリッド解析法との間での均衡を供することができる。
【0097】
1230では、被処理データ収集用基板(Sijk)の各々の上で特定された(Lijk)種類の解析部からなる群からlijk番目の解析部が選択されて良く、かつ被処理データ収集用基板(Sijk)の各々の上で特定された(Lijk)種類の解析部について、(Lijk)種類の異なる組の欠陥データが収集されて良い。たとえば、lijk=1,2,・・・Lijkで、かつi=1,2,・・・I、j=1,2,・・・Ji、k=1,2,・・・Kij、かつLijkは1よりも大きな整数であって良い。一部の実施例では、検査されている被処理データ収集用基板(Sijk)の各々は(Lijk)種類の解析部に分割され、かつ光学像中の強度の異なるスポットは、被処理データ収集用基板(Sijk)の各々についての解析部の数を設定するのに用いられて良い。様々な例では、図10に図示された解析部(1015-1065)が用いられて良く、図11Aに図示された解析部(1160A,1160A’)が用いられて良く、図11Bに図示された解析部(1160B,1160B’)が用いられて良く、又は図13に図示された解析部(1360,1360’)が用いられて良い。あるいはその代わりに他の解析部(図示されていない)が用いられても良い。
【0098】
1つ以上の光学像が1つ以上の「高強度スポット」を有するとき、本願発明者は、少なくとも1つの解析部が、検査されている「高強度スポット」に関連づけられること、及び「高強度スポット」に関連づけられたICパターン(1110-1160)は内部に欠陥を有すると信じている。それに加えて、検査されている「高強度スポット」に関連づけられた(Lijk)種類の解析部の各々について、高い値での欠陥確率(Pijk)が設定されて良い。たとえば高い値は約80%〜約100%まで変化して良い。あるいはその代わりに他の高い値が用いられても良い。さらに検査されている「高強度スポット」に関連づけられていない(Lijk)種類の解析部の各々について、低い値での欠陥確率(Pijk)が設定されて良い。たとえば低い値は約0%〜約20%まで変化して良い。あるいはその代わりに他の低い値が用いられても良い。
【0099】
一部の例では、工程1205において先に選ばれた欠陥を有する可能性のあるi番目のICパターンは、1つ以上の光学像において先に選ばれた1つ以上の強度差に関連づけられて良い。たとえば、図13に図示されているICパターン(1305)は、PPDVデータ、マイクロブリッジ形成データ、LERデータ、及び/又はLWRデータを取得するのに用いられて良い。それに加えて、1つ以上の他のICパターン(1010-1060)又は(1105A,1105B)が用いられても良い。
【0100】
1235では、被処理データ収集用基板(Sijk)の各々の上の選択されたlijk番目の解析部の各々について、mijkl番目のシミュレーションパラメータが、Mijkl種類のシミュレーションパラメータからなる群から選ばれて良く、かつ被処理データ収集用基板(Sijk)の各々の上の選択されたLijk番目の解析部の各々について、Mijkl種類の組のシミュレーションパラメータが収集されて良い。様々な例では、mijkl=1,2,・・・Mijkl、i=1,2,・・・I、j=1,2,・・・Ji、k=1,2,・・・Kij、l=1,2,・・・Lijk、かつMijklは1よりも大きな整数であって良い。本願発明者は修正されたフォトレジストモデルを開発した。前記修正されたフォトレジストモデルは、Mijkl種類のシミュレーションパラメータを用いて、内部に複数の輪郭線(1345)を有する1つ以上のシミュレーションデータマップ(1340)を生成することができる。本願発明者は、Mijkl種類のシミュレーションパラメータからなる群は、ブロックポリマー濃度データ、脱ブロックポリマー濃度データ、ポリマー脱保護濃度データ、保護されたポリマー濃度データ、酸拡散データ、塩基拡散データ、NILSデータ、ブロック発色団データ、脱ブロック発光団データ、又はこれらの組み合わせを有して良いと信じている。
【0101】
1240では、選ばれたmijkl番目のシミュレーションパラメータが、修正されたフォトレジストモデルによって用いられることで、mijkl番目のシミュレーションデータマップ(1340)が生成されて良い。一部の実施例では、被処理データ収集用基板(Sijk)上での選ばれたlijk番目の解析部の各々について、Mijkl種類のシミュレーションパラメータからなる群を用いることによって、Mijkl種類のシミュレーションマップからなる群が生成されて良い。それに加えて、被処理データ収集用基板(Sijk)上での選ばれたLijk番目の解析部の各々について、Mijkl種類の組のシミュレーションデータが、収集、検証、及び/又は記憶されて良い。
【0102】
他の実施例では、リソグラフィコントラストデータとマイクロブリッジ欠陥の生成との間の関係のうちの1つ以上を定量化する他のシミュレーションモデルが生成されて良い。これらのリソグラフィシミュレーションモデルは、特定の組のプロセスから校正され、かつ最高の歩留まりを得るのに利用可能なIC設計レイアウト及びマスク生成規則を最適化するのに用いられて良い。たとえば多入力多出力(MIMO)モデルが設定及び/又は使用されて良い。
【0103】
1245では、nijklm番目の評価領域が選択されるとき、nijklm番目の組の解析変数データ([AVDn]ijlm番目)が決定されて良い。ここで、検査されている各異なるICパターンの各々についてi=1,2,・・・Iで、検査中に選択された各異なる組のプロセスパラメータについてj=1,2,・・・Jiで、検査中に選択された各異なる基板についてk=1,2,・・・Kijで、選択された基板上の各異なる解析部についてlijk=1,2,・・・Lijkで、選択された基板上の各シミュレーションマップに用いられる各異なるシミュレーションパラメータについてmijkl=1,2,・・・Mijklで、選択された基板上の各解析部に係る各シミュレーションマップに関する各異なる(選択された)評価領域についてnijklm=1,2,・・・Nijklmで、かつNijklmは1よりも大きな整数であって良い。たとえば各異なる評価領域は、各異なる形状、各異なるサイズ、各異なる長さ、各異なる幅、各異なる厚さ、各異なる面積、及び/又は各異なる体積を有して良い。一部の例では、評価領域は、計算の速度及び/又は精度を向上させるように変化して良い。各評価領域のサイズを小さくして、かつ/又は評価領域間のスペースを狭くすると、計算時間が長ければより正確な欠陥データを供することができる。各評価領域のサイズを小さくして、かつ/又は評価領域間のスペースを狭くしても、計算時間短ければ、正確ではない欠陥データが供される恐れがある。一部の実施例では、各評価領域の形状、サイズ、及び位置は、32nmノードに基づいて設定されて良い。他の実施例では、各評価領域の形状、サイズ、及び位置は、より小さなデバイスに基づいて設定されて良い。
【0104】
一部の実施例では、被処理データ収集用基板(Sijk)上での選ばれたNijkm番目の解析部の各々について、1組以上の解析変数データ([AVD]ijlmn番目)が収集されて良い。たとえば解析変数データ([AVD]ijlmn番目)は多重レベル表を用いて記憶されて良い。ここで、検査される各異なるICパターンについてi=1,2,・・・Iで、検査中に選択される各異なる組のプロセスパラメータ(設計規則)についてj=1,2,・・・Jiで、検査中に選択される各異なる基板についてk=1,2,・・・Kijで、選択された基板上の各異なる解析部についてlijk=1,2,・・・Lijkで、各シミュレーションデータマップ(1340)を生成して、選択された基板上の各解析部に関連づけるのに用いられる各異なるシミュレーションパラメータについて、mijkl=1,2,・・・Mijklで、選択された基板上の各解析部について用いられる各シミュレーションパラメータに関連する各異なる評価領域について、nijklm=1,2,・・・Nijklmで、かつNijklmは1よりも大きな整数であって良い。他の実施例では、1つ以上の添字が0に等しいときには、解析変数データは異なる構成をとっても良い。
【0105】
一部の実施例において、本願発明者は、解析変数データは、平均値<([AVD]ijklmn)>を用いて決定することが可能であると信じている。図13に図示されたICパターン(1305)が検査されている場合、評価領域1350内の輪郭線(1345)に関連する値は、解析変数データの平均を計算するときには平均化されて良い。それに加えて、画素1355の各々中の輪郭線(1345)に係る値は、解析変数データの平均を計算するときには、平均化され、かつ合計されて良い。mijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)がブロックポリマー濃度データを有するとき、<([AVD]ijklmn)>は、評価領域1350内での平均化されたブロックポリマー濃度データであって良く、σijklmn番目はブロックポリマー濃度データの標準偏差で、かつd(mijkl)/dxはライン端部のうちの1つでのシミュレーションによるブロックポリマー濃度勾配であって良い。他の例では、mijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)が脱ブロックポリマー濃度データを有するとき、<([AVD]ijklmn)>は、評価領域1350内での平均化された脱ブロックポリマー濃度データであって良く、σijklmn番目はブロックポリマー濃度データの標準偏差で、かつd(mijkl)/dxはライン端部のうちの1つでのシミュレーションによる脱ブロックポリマー濃度勾配であって良い。追加の例では、mijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)が酸又は塩基の拡散データを有するとき、<([AVD]ijklmn)>は、評価領域1350内での平均化された酸又は塩基の拡散データであって良く、σijklmn番目は酸又は塩基の拡散データの標準偏差で、かつd(mijkl)/dxはライン端部のうちの1つでのシミュレーションによる酸又は塩基の拡散勾配であって良い。他の例では、mijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)が酸又は塩基の濃度データを有するとき、<([AVD]ijklmn)>は、評価領域1350内での平均化された酸又は塩基の濃度データであって良く、σijklmn番目は酸又は塩基の濃度データの標準偏差で、かつd(mijkl)/dxはライン端部のうちの1つでのシミュレーションによる酸又は塩基の濃度勾配であって良い。さらに他の例では、mijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)がNILSデータを有するとき、<([AVD]ijklmn)>は、評価領域1350内での平均化されたNILSデータであって良く、σijklmn番目はNILSデータの標準偏差で、かつd(mijkl)/dxはライン端部のうちの1つでのシミュレーションによるNILS勾配であって良い。
【0106】
一部の実施例では、1つ以上の三次元平均値<([AVD]ijklmn)>volが、Nijklm種類の評価領域(1350)の各々についての各異なる体積及び/又は被処理データ収集用基板上での3次元解析部(1360)を用いることによって計算されて良い。
【0107】
様々な処理中、計算された解析変数データ([AVD]ijklmn)についての1つ以上の値及び/又は限界が設定されて良く、かつ計算された [AVD]ijklmnは前記1つ以上の限界と比較されることで、計算の精度を改善することが可能である。それに加えて、1つ以上の限界は、計算された[AVD]ijklmnをいつどこに記憶するのかを決定するのに用いられて良い。それに加えて、1つ以上の制御装置(114,124,134,144,154,164,及び190)は、[AVD]ijklmnを計算し、かつ計算された[AVD]ijklmnを記憶するように構成されて良い。
【0108】
1250では、欠陥を有するICパターン解析処理の間に選択されたkij番目の被処理データ収集用基板(Sijk)について、実質的に必要な全てのデータが得られたか否かを判断するのにクエリーが実行されて良い。欠陥を有するICパターン解析処理の間に選択されたkij番目の被処理データ収集用基板(Sijk)について、実質的に必要な全てのデータが得られたときには、処理1200は1255へ分岐し、かつ図12に図示されるように続いて良い。(選択された)kij番目の被処理データ収集用基板(Sijk)について、必要なデータの一部が取得されなかったときには、処理1200は1270へ分岐し、かつ図12に図示されるように続いて良い。
【0109】
1255では、マイクロブリッジ欠陥データ収集処理の間に選択されたkij番目の被処理データ収集用基板(Sijk)について、実質的に必要な全てのデータが得られたときには、kij番目の被処理データ収集用基板(Sijk)について確率データが計算されて良い。
【0110】
一部の実施例では、確率値について2つの結果しか存在し得ないときには、二項分布が用いられて良い。たとえば2つの存在しうる結果とは、欠陥を有するICパターンが解析されたlijk番目の解析部内に存在する場合、又は欠陥を有するICパターンが解析されたlijk番目の解析部内に存在しない場合であって良い。検査用に選択されたLijk種類の解析部からなる群内での欠陥を有するy種類のICパターンが存在する確率は以下の(1)式に示されるように決定されて良い。ここで、pはlijk番目の解析部が欠陥を有するICパターンを含む確率で、qはlijk番目の解析部が欠陥を有するICパターンを含まない確率である。
【0111】
【数1】

ここで、
i=1,2,・・・Iで、j=1,2,・・・Jiで、k=1,2,・・・Kijで、かつLijkは1よりも大きな整数であって良い。一般的には、yの平均値ηyは、ηy=(Lijk)p(オーバーバー)を用いて計算されて良く、かつyの分散は、σy2=(Lijk)pq(オーバーバー)+(Lijk)(Lijk-1)σp2を用いて計算されて良い。
【0112】
確率が小さく、かつ検査部の数(Lijk)が多いときには、以下の(2)式に示されたポアソン分布が用いられて良い。
【0113】
【数2】

それに加えて、本願発明者は、新たな組の統計に基づく関係を特定した。その関係は、1つ以上のリソグラフィ関連プロセスシーケンスからの実験的に得られたコントラストの「ホットスポット」データが、マイクロブリッジ形成問題及び/又はLER問題に等しいことを示すのに用いられて良い。一部の実施例では、本願発明者は、結果決定処理を単純化するのに以下の一般化された関係を用いることのできると信じている。
【0114】
【数3】

[(Defective_IC_Pattern_Data)m]ijklは選択されたkij番目の被処理データ収集用基板(Sijk)と、シミュレーション処理中に用いられたmijkl番目のシミュレーションパラメータを用いて実験的に決定される。
【0115】
mijklは各異なるシミュレーションパラメータのうちの1つであって良い。前記各異なるシミュレーションパラメータのうちの1つは、ky種類の(選択された)被処理データ収集用基板(Sijk)がJi種類のプロセスパラメータを用いて処理され、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに設定される、各異なる評価領域の各々について設定されて良い。
【0116】
<(mijkl)>は、各異なる評価領域の各々について設定されたmijkl番目の解析変数のへ員数値であって良い。前記各異なる評価領域の各々についての設定は、kij番目の選択された被処理データ収集用基板(Sijk)が、Ji種類の組のプロセスパラメータを用いて処理され、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに、行われた。
【0117】
m)ijklは、mijkl番目の解析変数についての標準偏差値であって良い。kij番目の選択された被処理データ収集用基板(Sijk)が、Ji種類の組のプロセスパラメータを用いて処理され、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに、行われた。
【0118】
(1)式中の項を再整理ことで、以下のような一般化された関係として示される式(3)を得ることができる。
【0119】
m)ijkl=[√<mijkl>]*[Pm(y)ijkl] (3)
本願発明者は、1つ以上の3次元平均値を計算することが可能であると信じている。本願発明者は、一般化された式(4)を用いることができると信じている。
【0120】
m)ijkl=[√<mijkl>volume]*[Pm(y)ijkl] (3)
一部の例では、i=1のときには図13に図示された欠陥を有する恐れのある第1のICパターン(1305)が検査されて良く、j=1のときには第1組のプロセスパラメータ(設計規則)が用いられて良く、k=1のときには第1のデータ収集用基板が検査されて良く、l=1のときには図13に図示されているように第1解析部(1360)が用いられて良く、m=1のときには第1シミュレーションデータマップ(1340)及び関連する第1輪郭線(1345)が用いられて良く、かつn=1のときには図13に図示された第1評価領域(1350)が用いられて良い。他の実施例では、i>1のときには図10に図示された追加の欠陥を有する恐れのあるICパターン(1010-1060)が検査されて良い。
【0121】
kij番目の被処理データ収集用基板(Sijk)についての確率データが計算されたとき、処理1200は工程1260へ進んで良い。
【0122】
様々な処理の間、計算された標準偏差値(σmijklについて1つ以上の値及び/又は限界が設定され、かつ計算された標準偏差値(σmijklは、計算制度を改善するために1つ以上の限界と比較されて良い。それに加えて、1つ以上の限界は、計算された標準偏差値(σmijklをいつどこに記憶させるのかを決定するのに用いられて良い。それに加えて、1つ以上の制御装置(114,124,134,144,154,164,及び190)は、標準偏差の値(σmijklを計算し、かつ計算された標準偏差値(σmijklをいつどこに記憶させるのかを決定するように備えられて良い。
【0123】
1260では、共有されたポリマー脱保護分散データ及び/若しくは制限が計算並びに/又は更新されて良い。一部の実施例では、共有されたポリマー脱保護分散(PPDV,σm)データは(σm)の関数として定義され、かつ(σm)は、使用されているシミュレーションパラメータ(m)の標準偏差として定義されて良い。
【0124】
本願発明者は、新たな組の統計に基づく関係を特定した。その関係は、1つ以上のリソグラフィ関連プロセスシーケンスからの実験的に得られたコントラストの「ホットスポット」データが、マイクロブリッジ形成問題、LWR問題、及び/又はLER問題に等しいことを示すのに用いられて良い。一部の実施例では、本願発明者は、結果決定処理を単純化するのに以下の一般化された関係を用いることのできると信じている。
【0125】
【数4】

{PPDV,(σmijkl}は、実効的な共有されたポリマー脱保護分散データとして定義されて良い。このとき、i=1,2,・・・Iで、j=1,2,・・・Jiで、k=1,2,・・・Kijで、かつl=1,2,・・・Lijkである。
【0126】
mは、データ収集中に用いられるシミュレーションパラメータとして定義されて良い。
【0127】
<mijkl>は、各異なる評価領域の各々について設定されたmijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)についての平均として定義されて良い。各異なる評価領域の各々は、kij番目の(選ばれた)被処理データ収集用基板(Sijk)がJi種類の組のプロセスパラメータを用い、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに設定された。
【0128】
(σmijklは、各異なる評価領域の各々について設定されたmijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ1340についての標準偏差値として定義されて良い。各異なる評価領域は、kij番目の(選ばれた)被処理データ収集用基板(Sijk)がJi種類の組のプロセスパラメータを用い、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに設定された。
【0129】
{Pm(y)}ijkl=[(Defective_IC_Pattern_Data)m]ijklは、Mijkl種類のシミュレーションパラメータの各々について実験的に決定された欠陥データとして定義されて良い。
【0130】
1つ以上の3次元平均値<mijkl>volumeが用いられるとき、各被処理データ収集用基板上のNijklm種類の評価領域の各々についての各異なる領域及び1層以上の異なるそうについての1種類以上の厚さを用いることによって、各異なる体積値は計算されて良い。それに加えて、共有された分散は次式を用いて計算されて良い。
【0131】
【数5】

それに加えて、本願発明者は、次式を用いてLERデータが決定可能であると信じている。
【0132】
【数6】

mはデータ収集中に用いられるシミュレーションパラメータとして定義されて良い。
【0133】
<mijkl>は、各異なる評価領域の各々について設定されたmijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ(1340)についての平均として定義されて良い。各異なる評価領域の各々は、kij番目の(選ばれた)被処理データ収集用基板(Sijk)がJi種類の組のプロセスパラメータを用い、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに設定された。
【0134】
(σmijklは、各異なる評価領域の各々について設定されたmijkl番目のシミュレーションパラメータ及び関連するシミュレーションデータマップ1340についての標準偏差値として定義されて良い。各異なる評価領域は、kij番目の(選ばれた)被処理データ収集用基板(Sijk)がJi種類の組のプロセスパラメータを用い、かつ欠陥を有する恐れのあるi番目のICパターンが検査されているときに設定された。
【0135】
d(mijkl)/dxは、ライン端部でのMijkl種類のシミュレーションパラメータの各々についての(x方向における)勾配の値として定義されて良い。
【0136】
1265では、{PPDV,(σmijkl}データ解析処理が完了したか否か、又は追加の{PPDV,(σmijkl}データが得られたか否かを判断するためにクエリーが実行されて良い。{PPDV,(σmijkl}データ解析処理が完了したとき、処理1200は、工程1295へ分岐して図12に図示されているように終了して良い。{PPDV,(σmijkl}データ解析処理が完了しなかったとき、処理1200は1280へ分岐して良く、かつ処理は図12に図示されているように継続して良い。
【0137】
1270では、新たな評価領域が必要であるか否かを判断するためにクエリーが実行されて良い。新たな評価領域が必要ないとき又は評価領域の数がこれまでに用いられてきた評価領域数(Nijklm)を超えたとき、処理1200は1272へ分岐して良い。新たな評価領域が必要ないとき、解析変数データ([AVD]ijklmn)及び/又はnijklm番目の評価領域に係る欠陥データ([DD]ijklmn)は、リアルタイム及び/又は履歴データとして記憶されて良い。たとえば欠陥データ([DD]ijklmn)は、マイクロブリッジ欠陥データ、LER欠陥データ、及び/又はLWR欠陥データを有して良い。新たな評価領域が必要なときには、評価領域の合計数がNijklmを超えなければ、(n+1)ijklm番目の評価領域が設定されて良く、処理1200は1245へ分岐し、かつ処理1200は図12に図示されているように継続して良い。たとえば処理1200が図12に図示されているように継続して良いときには、(n+1)ijklm番目の評価領域が用いられて良い。(n+1)ijklm番目の評価領域が設定されるとき、計算手法は変化して良く、かつ、その評価領域のサイズ、形状、及び/又は位置は変化して良い。それに加えて、計算中に用いられる画素(1335)のサイズ、形状、及び/又は位置も変化して良い。
【0138】
1272では、新たなシミュレーションデータが必要か否かを判断するためにクエリーが実行されて良い。新たなシミュレーションデータが必要ないとき、又はシミュレーションパラメータ数がMijklを超えたときには、処理1200は1274へ分岐して良い。新たなシミュレーションデータが必要な場合に、シミュレーションパラメータの合計数がMijklを超えないときには、(m+1)ijklm番目のシミュレーションパラメータが設定されて良く、かつ処理1200は1235へ分岐し、かつ処理1200は図12に図示されているように継続して良い。たとえば、処理1200が図12に図示されているように継続するときには(m+1)ijklm番目のシミュレーションパラメータが用いられて良く、かつ新たなシミュレーションデータマップ(1340)が生成されて良い。(m+1)ijklm番目のシミュレーションパラメータが設定されるとき、シミュレーションデータマップ(1340)のサイズ、形状、数、及び/又は位置が変化して良く、かつ/あるいは、フォトレジストモデルが変化して良い。それに加えて、計算中に用いられる輪郭線(1345)のサイズ、形状、数、及び/又は位置が変化しても良い。新たなフォトレジストモデルが設定されるとき、1つ以上のレジストパラメータ、1つ以上の現像パラメータ、1つ以上の酸のパラメータ数、及び/又は1つ以上のポリマーのパラメータが変化して良い。それに加えて、計算中に用いられる現像されたフォトレジストプロファイルのサイズ、形状、及び/又は位置が変化しても良い。新たなシミュレーションパラメータが設定されるとき、1つ以上のレジストパラメータ、1つ以上の現像パラメータ、1つ以上の酸のパラメータ、及び/又は1つ以上のポリマーのパラメータが変化して良い。それに加えて、計算中に用いられるシミュレーションデータマップのサイズ、形状、及び/又は位置が変化しても良い。
【0139】
1274では、新たな解析部が必要か否かを判断するためにクエリーが実行されて良い。新たな解析部が必要ないとき、又は解析部の数がLijkを超えたとき、処理1200は1280へ分岐して良い。新たな解析部が必要な場合、解析部の合計数がLijkを超えなければ、(l+1)ijk番目の解析部が設定されて良く、処理1200は1230へ分岐し、かつ図12に図示されているように継続して良い。処理1200が図12に図示されているように継続されるとき、(l+1)ijk番目の解析部が用いられて良い。(l+1)ijk番目の解析部が設定されるとき、シミュレーションデータマップのサイズ、形状、数、及び/又は位置は変化して良い。
【0140】
1280では、新たなデータ収集用基板が必要か否かを判断するためにクエリーが実行されて良い。新たなデータ収集用基板が必要ないとき、又はデータ収集用基板の数がKijを超えたとき、処理1200は1282へ分岐して良い。新たなデータ収集用基板が必要な場合、データ収集用基板の合計数がKijを超えなければ、(k+1)ij番目のデータ収集用基板が設定されて良く、処理1200は1230へ分岐し、かつ図12に図示されているように新たに選択された基板を用いることによって継続して良い。たとえば処理1200が図12に図示されているように継続されるとき、(k+1)ij番目のデータ収集用基板が用いられて良い。(k+1)ij番目のデータ収集用基板が選択されるとき、1つ以上のテスト用基板、1つ以上の検査用基板、1つ以上の製造用基板、1つ以上の実験用基板、1つ以上のsend-ahead基板、及び/又は1つ以上の製造準備用基板が選択されて良い。それに加えて、計算中に使用される基板の数は変化して良い。
【0141】
1282では、新たなプロセスパラメータが必要か否かを判断するためにクエリーが実行されて良い。新たなプロセスパラメータ及び/又は設計規則が必要ないとき、又は新たなプロセスパラメータの数がJiを超えたとき、処理1200は1284へ分岐して良い。新たなプロセスパラメータ及び/又は設計規則が必要な場合、プロセスパラメータの合計数がJiを超えなければ、(j+1)i番目のデータ収集用基板が設定されて良く、処理1200は1230へ分岐し、かつ図12に図示されているように継続して良い。たとえば処理1200が図12に図示されているように継続されるとき、(j+1)i番目の組のプロセスパラメータが用いられて良い。(j+1)ij番目の組のプロセスパラメータが選択されるとき、前記新たなプロセスパラメータは、1つ以上のリソグラフィ関連パラメータ、1つ以上の露光関連パラメータ、1つ以上の熱パラメータ、及び/又は1つ以上の現像パラメータを有して良い。それに加えて、計算中に使用されるプロセスパラメータの数は変化して良い。
【0142】

1284では、新たな欠陥を有する恐れのあるICパターンが必要か否かを判断するためにクエリーが実行されて良い。新たな欠陥を有する恐れのあるICパターンが必要ないとき、又は新たな欠陥を有する恐れのあるICパターンの数がJiを超えたとき、処理1200は1295へ分岐して良い。新たな欠陥を有する恐れのあるICパターンが必要な場合、欠陥を有する恐れのあるICパターンの合計数がJiを超えなければ、(j+1)i番目の欠陥を有する恐れのあるICパターンが選択されて良く、処理1200は1210へ分岐し、かつ図12に図示されているように継続して良い。たとえば処理1200が図12に図示されているように継続されるとき、(j+1)i番目の欠陥を有する恐れのあるICパターンが用いられて良い。(j+1)ij番目の組のプロセスパラメータが選択されるとき、前記新たな欠陥を有する恐れのあるICパターンは、図11に図示された欠陥を有する恐れのある複数のICパターンのうちの1つを有して良い。それに加えて、他の欠陥を有する恐れのあるICパターン(図示されていない)が用いられても良い。
【0143】
一部の実施例では、各異なる組のプロセスパラメータを用いることによって、1つ以上の欠陥を有する恐れのあるICパターン(1305)についてプロファイルデータ、側壁角(SWA)データが収集されて良い。たとえば実験計画(DOE)法が、LERデータを取得するのに用いられて良い。他の実施例では、他の欠陥を有する恐れのあるICパターン(図示されていない)について追加の欠陥データ及び/又はLERデータが収集されて良い。
【0144】
様々な実施例では、多くの異なる潜在的欠陥を有する大きなICアレイ上の1つ以上の設計部位について、実験によるマイクロブリッジ形成データが収集されて良い。たとえば、形状及びサイズが、検討するための設計部位を選択するのに用いられて良い。マイクロブリッジ形成データが用いられるとき、マイクロブリッジ形成データは欠陥密度として表されて良く、かつ欠陥密度は、所与の面積内での欠陥数、部分(segment)のランレングス中での欠陥数、又は他の基準で特徴付けられて良い。マイクロブリッジ形成データは、照射条件、照射量、現像データ、熱データ、若しくはフォトレジストデータ、又はこれらの組み合わせの関数として収集されて良い。収集されたマイクロブリッジ形成データは1つ以上のグラフを用いてプロットされて良い。グラフは、NILSに対する欠陥、脱保護勾配に対する欠陥、酸の濃度に対する欠陥、若しくはPPDVに対する欠陥、又はこれらの組み合わせを用いてプロットされて良い。
【0145】
1195では、処理1200が終了して良い。
【0146】
一部の実施例では、検査結果について2つの結果(たとえばマイクロブリッジ欠陥が検査部で起こるか、又はマイクロブリッジ欠陥が検査部で起こらないか)しか存在し得ないときには、二項分布が用いられて良い。
【0147】
本願発明者は、収集されたデータが正規分布を用いて表すことができるとき、正規分布の追加的な特性により、様々なデータ源からの欠陥データを、結合(追加)することで、1つ以上の統計的に関連する組のマイクロブリッジ形成データを得ることができる。たとえば、ゼロを平均として分散がそれぞれ(σ12,σ22)である2つの独立した正規分布が合計されるとき、その合計もまたゼロを平均として分散がそれぞれ(σ1222)である正規分布となる。それに加えて、正規分布は部分集合に区分されて良い。これらの特性もまた、様々なリソグラフィ関連パラメータを用いる様々な欠陥モデルを用いて生成される正規分布を比較するのに用いられて良い。
【0148】
本願発明者はまた、共有(収集)された欠陥データが二項分布を用いて記述できるとき、二項分布の追加的な特性により、様々なデータ源からの欠陥データを、共有(結合及び/又は追加)することで、1つ以上の統計的に関連する組のマイクロブリッジ形成データを得ることができると信じている。たとえば平均値がそれぞれη1=n1p1及びη2=n2p2で分散がそれぞれσ12= n1p1q1及びσ22= n2p2q2である2つの独立した二項分布が合計されるとき、その合計もまた、平均がη12で分散がσ1222である二項分布である。それに加えて、二項分布は部分集合に区分することができる。これらの特性もまた、様々なリソグラフィ関連パラメータを用いる様々な欠陥モデルを用いて生成される正規分布を比較するのに用いられて良い。
【0149】
本願発明者はまた、共有(収集)された欠陥データがポアソン分布を用いて記述できるときには、ポアソン分布の追加的特性により、様々なデータ源からの欠陥データを、共有(結合及び/又は追加)することで、1つ以上の統計的に関連する組のマイクロブリッジ形成データを得ることができると信じている。たとえば平均値がそれぞれη1及びη2で分散がそれぞれσ121及びσ222である2つの独立したポアソン分布が合計されるとき、その合計もまた、平均がη12で分散がσ1222=η12であるポアソン分布である。それに加えて、ポアソン分布は部分集合に区分することができる。これらの特性もまた、様々なリソグラフィ関連パラメータを用いる様々な欠陥モデルを用いて生成されるポアソン分布を比較するのに用いられて良い。
【0150】
ポアソン関数がブリッジ欠陥を解析するのに用いられるとき、検査されている欠陥は、基板の被検査部内において無作為に分布しているはずである。pが小さくてnが大きいとき、二項分布はポアソン分布を用いて近似されて良い。
【0151】
偏差[(y-η)]kは観察[y]kが平均値[η]kからどれだけ離れているかの指標で、分散[σ2]kは全分布[N]kにわたって取られたこれらの偏差の二乗平均で、かつ、k種類の異なるプロセスシーケンスからのk種類の異なる組のデータが用いられて良いことを思い出して欲しい。
【0152】
【数7】

ここでk=1,2…である。
【0153】
それに加えて、標準偏差[σ]kは分布[N]kの広がりの指標である。
【0154】
【数8】

ここでk=1,2…である。
【0155】
さらに、ポアソン分布は平均値[η]kにのみ依存し、平均値[η]kは、基板上、又は、k番目のプロセスシーケンス及び/又はk番目の組のプロセスパラメータからのk番目の組のデータに係る基板の一部の上でのマイクロブリッジ欠陥の期待された数に等しい正の実数であって良いことに留意して欲しい。それに加えて、ポアソン分布が用いられるとき、分散[σ2]kは平均値[η]kに等しい。ポアソン分布が用いられるとき、基板又はその一部[(i)]kが[yi]k個のマイクロブリッジ欠陥を有する確率は次式で表すことができる。
【0156】
【数9】

ここで、yi=0,1,2…、k=1,2…で、及びyiは欠陥数又は欠陥率を表す任意の変数である。
【0157】
他の実施例では、共有された分散は次式で計算されて良い。
【0158】
【数10】

ここで、(n1,n2,…nk)は各レベルでの欠陥データの部分集合のサイズである。
【0159】
1つ以上のサブシステム(110、120、130、140、150、及び160)及び/又は1つ以上の制御装置(114、124、134、144、154、164及び190)は、k個の組の欠陥データ、k個の組のプロセスデータ、及び/又はk個のプロセスシーケンスのうちの1つ以上の間での1つ以上の基板についてのk個の組の評価データを供して良い。1つ以上のサブシステム(110、120、130、140、150、及び160)及び/又は1つ以上の制御装置(114、124、134、144、154、及び164)は、レジストデータ、熱データ、レジスト及び/若しくは基板厚さデータ、レジスト及び/若しくは基板均一性データ、曲率データ、現像データ、パターンデータ、エラーデータ、又はこれらの組み合わせを決定するのに用いられて良い。1つ以上の制御装置(114、124、134、144、154、164及び190)は、回路設計が正しいか否か、又は設計規則が必要であるか否かを判断するために評価処理を実行して良い。たとえば1つ以上の評価処理は、各異なる位置、基板、並びに/又はロットについて、フォトレジストパターン、部位、及び/若しくは構造のマイクロブリッジデータを有することのできる欠陥データを供して良い。
【0160】
校正されたフォトレジストモデルが必要であるとき、レジストモデルが、標準的なレジスト/エッチングOPCパターンデータ又は最高レベルの精度を得るための他の実験手法(参考文献)から生成されて良い。レジストモデルは、画定された2Dのx断面スライス内部、3D体積内部、又は使用者によって定義される2Dの上面像内部に平均脱保護プロファイル(又は酸/NILSプロファイル)を生成するのに用いられて良い。良好なレジストモデルは、照射量、焦点、ベーキング条件(つまり酸の拡散)等の変化に敏感である。他の実施例では、レジスト「応答」は、標準的なレジスト/エッチングOPCデータ(様々な焦点、照射量、ベーキング条件等で露光された基板)又は正確さに欠ける結果を高速で得る集中パラメータモデル(lump parameter model)のいずれかで近似されて良い。それに加えて、レジスト情報が得られないときには、純粋な光学パラメータ−たとえばNILSのような−が、実験による故障率データに関連づけるのに用いられて良い。この処理は、前述した処理ほど正確にはなりえない。しかしこの処理は、フルチップの用途について最速のシミュレーションを生成することができる。
【0161】
一部の例では、1つ以上のポアソン分布の解は、実験によるブリッジ形成率を、目標のシミュレーションパラメータ又は物理空間のCDサイズのいずれかに関連づけるのに用いられて良い。他の例では、1つ以上のガウス分布が用いられて良い。それに加えて、PPDV処理において用いられる解析変数は、精度と速度の均衡をとるようにユーザーによって定義されて良く、かつ、各解析部について故障率を計算した後、設計レイアウト及び/又は設計規則は、目標の故障率又は簿止まりを満たすように変化して良い。
【0162】
一部の実施例では、パターニングされたフォトレジスト層が生成されるとき、パターニングされたフォトレジスト層は、1つ以上のテストパターンを含む1つ以上のテスト回路を有して良い。テストパターンは、設計規則を用いて設定され、かつマイクロブリッジデータ及び/又はPPDVデータを取得するのに用いられて良く、フォトレジストモデルを校正するように設定及び使用され、かつ/又は1つ以上のリソグラフィ処理に関連するPPDVモデルを検証するように設定及び使用されて良い。他の実施例では、テストパターンは、製造マスクを検証するように設定及び使用され、回路設計とレイアウトを検証するように設定及び使用され、フォトレジストモデルを校正及び/若しくは検証するように設定並びに使用され、かつ/又は、リソグラフィ装置若しくは露光装置を校正並びに/又は検証するように設定並びに使用されて良い。
【0163】
測定された欠陥マップとシミュレーションによる欠陥マップとの間の差異が決定されるとき、マップの全部及び/又は一部が用いられて良い。その差異は、キラー欠陥(killer defects)の数、非キラー欠陥(killer defects)の数、ライン終端部の数、角部欠陥の数、マイクロブリッジ欠陥の数、LWR欠陥の数、及び/又はLER欠陥の数を用いることによって特定されて良い。それに加えて、PPDVデータの閾値は、キラー欠陥(killer defects)の最大数、非キラー欠陥(killer defects)の最大数、ライン終端部の最大数、角部欠陥の最大数、マイクロブリッジ欠陥の最大数、LWR欠陥の最大数、及び/又はLER欠陥の最大数を用いることによって特定されて良い。それに加えて、その差異は、ポリマー脱保護マップ中の輪郭線、ブロックポリマーマップ中の輪郭線、脱ブロックポリマーマップ中の輪郭線、酸拡散マップ中の輪郭線、若しくはホットプレート用の熱マップ中の輪郭線、又はこれらの組み合わせに基づいて良い。
【0164】
その差異は閾値以下であるとき、1つ以上のシミュレーションパラメータが、その差異をなくすように変化して良い。その差異がその閾値よりも大きいときには、1つ以上の補正行為が行われて良い。補正行為は、新たな又は追加の測定データを取得する工程及び新たなPPDVモデルを計算する工程を有して良い。それに加えて、補正行為は、使用しているアルゴリズムを変更する工程、検査されている基板を変更する工程、重み因子を変更する工程、閾値を変更する工程、前記基板を再測定する工程、又は前記基板を再検査する工程を有して良い。
【0165】
図13は、本発明の実施例による典型的な欠陥解析マップの第1の典型的な部分を図示している。図示された実施例では、第1の典型的な欠陥解析マップ1300において、パターニングされた基板1301上に第1のICパターンが図示され、かつ、第1のICパターン1305は、第1部位1310、第2部位1320、並びに、第1部位1310と第2部位1320との間に位置する第1空間領域1330を有して良い。あるいはその代わりに、異なる数の部位(1310,1320)及び/又はスペース領域1330が用いられても良い。様々な実施例では、部位(1310及び1320)は、フォトレジスト材料、ARC材料、BARC材料、TARC材料、デュアルトーンレジスト材料、光酸材料、光塩基材料、誘電材料、セラミック材料、若しくは金属材料、又はこれらの混合物を有して良い。あるいはその代わりに、様々な数の部位が用いられて良く、かつ/又はその部位は様々な形状を有して良い。
【0166】
パターニングされた基板1301は複数の第1のICパターン1305を有し、かつ複数の他のICパターン(図示されていない)を有して良い。ICパターンの各々は、様々な欠陥確率を有して良い。一部の実施例では、第1部位1310は第1方向1319に延びる第1部位の長さ1310を有して良く、第2部位1320は第2方向1329に延びる第2部位の長さ1323を有して良く、第2方向1323は第1方向1319に対して実質的に平行であって良い。第1空間領域1330は、第3方向1339に延びる第1空間領域の長さ1333を有して良い。第3方向1339は第1方向に対して実質的に平行であって良い。
【0167】
第1部位1310は、第1部位高さ1311(z方向)、第1部位幅1312(x方向)、及び第1部位長さ1313(y方向)を有して良い。第1部位高さ1311は、約10nm〜約1000nmまで変化して良く、かつ使用しているマスク材料に依存して良い。第1部位幅1312は、約10nm〜約200nmまで変化して良く、かつ生成されているゲート(トランジスタ)サイズに依存して良い。第1部位長さ1313は、約10nm〜約1000nmまで変化して良く、かつ1つ以上の設計規則を用いて決定されて良い。第2部位1320は、第2部位高さ1321(z方向)、第2部位幅1322(x方向)、及び第2部位長さ1323(y方向)を有して良い。第2部位高さ1321は、約10nm〜約1000nmまで変化して良く、かつ使用しているマスク材料に依存して良い。第2部位幅1322は、約10nm〜約200nmまで変化して良く、かつ生成されているゲート(トランジスタ)サイズに依存して良い。第2部位長さ1323は、約10nm〜約1000nmまで変化して良く、かつ1つ以上の設計規則を用いて決定されて良い。第1スペース領域1330は、第1スペース領域高さ1331(z方向)、第1スペース領域幅1332(x方向)、及び第1スペース領域長さ1333(y方向)を有して良い。第1スペース領域高さ1331は、約10nm〜約1000nmまで変化して良く、かつ使用しているマスク材料に依存して良い。第1スペース領域幅1332は、約10nm〜約200nmまで変化して良く、かつ生成されているゲート(トランジスタ)サイズに依存して良い。第1スペース領域長さ1333は、約0nm(実質的に接触している)〜約1000nmまで変化して良く、かつ1つ以上の設計規則を用いて決定されて良い。
【0168】
一部の実施例では、第1スペース領域1330は、「平行ライン」配置を有する第1のICパターン1305に係る第1組のマイクロブリッジ欠陥1335の確率値を決定するように検査されて良い。他の実施例では、第1スペース領域1330は、他のICパターン(1010-1060、図10)に係る異なる組の欠陥の確率値を決定するように検査されて良い。
【0169】
第1の典型的な欠陥解析マップ1300は、少なくとも1つの第1解析部1360及び少なくとも1つの第2解析部1360’を有して良い。図示された実施例では、第1スペース領域1330と重なる3次元体積を有する第1解析部1360及び第2解析部1360’が図示されている。他の実施例では、第1解析部1360及び第2解析部1360’は異なる構成をとっても良い。第1解析部1360は、第1高さ1361、第1幅1362、及び第1深さ1363を有して良い。第2解析部1360’は、第1解析部1360と実質的に同一の構成をとって良い。それに加えて、欠陥の存在しない第1解析部1360が図示され、かつ内部にマイクロブリッジ欠陥1335を有する第2解析部1360’が図示されている。第1解析部1360は、パターニングされた基板1301に係る第1の典型的欠陥解析マップ1300内の第1位置(x1,y1)に位置して良い。第2解析部1360’は、パターニングされた基板1301に係る第1の典型的欠陥解析マップ1300内の第2位置(x1,y1a)に位置して良い。
【0170】
第1の典型的欠陥解析マップ1300は、第1数N1の追加的第1解析部(図示されていない)及び第2数N2の追加的第2解析部(図示されていない)を有して良い。数(N1, N2)は約1000000〜1000000000超の範囲を取り得る整数であって良い。解析部の各々は各異なる欠陥確率を有して良い。たとえば、第1解析部の各々には欠陥が存在せず、かつ第2解析部の各々は1つの欠陥を有しても良い。本発明において用いられる統計的処理は、これらの大きな数の解析部を短時間で解析することを可能にする。
【0171】
第1解析部1360及び第2解析部1360’は、第1の典型的な欠陥解析マップ1300を解析する第1方法の例示、及び、第1の典型的な欠陥解析マップ1300内でのマイクロブリッジ欠陥1335の確率の決定に用いられて良い。
【0172】
第1の統計に基づく(PPDV)欠陥解析処理の間、複数の第1輪郭線1345を有する第1シミュレーションデータマップ1340が、第1の校正又は非校正フォトレジストモデルを用いて生成されて良い。第1シミュレーションデータマップ1340は第1方向(z方向)に位置合わせされて良い。第1シミュレーションデータマップ1340内の1つ以上の第1輪郭線1345は第1スペース領域1330内に位置して良い。第1シミュレーションデータマップ1340は第1シミュレーションデータマップ長さ1341及び第1シミュレーションデータマップ幅1342を有して良い。第1シミュレーションデータマップ長さ1341及び第1シミュレーションデータマップ幅1342は、1つ以上の第1マイクロブリッジ解析処理の入力パラメータであって良い。第1シミュレーションデータマップ長さ1341は約20nm〜約10000nmまで変化して良い。第1シミュレーションデータマップ幅1342は約20nm〜約10000nmまで変化して良い。あるいはその代わりに第1シミュレーションデータマップ1340は異なる方向に位置合わせされても良い。
【0173】
統計に基づく(PPDV)欠陥解析処理では、1つ以上の第1評価領域1350が、マイクロブリッジ欠陥データ、LER欠陥データ、及び/又はLWR欠陥データを取得するように、設定され、構成され、かつ設置される。たとえばnijklm番目の評価領域が選択されるとき、nijklm番目の組の解析変数データ([AVDn]ijklm)は、図12の工程1265に図示されているように、第1評価領域1350内に存在する第1シミュレーションデータマップ1340内の1つ以上の輪郭線1345を用いて決定されて良い。図13に図示されているように、本願発明者は、第1評価領域1350が第1スペース領域1330の下部(底部)に位置するときに、最高のデータを得ることができると信じている。第1評価領域1350は、第1評価領域高さ1351、第1評価領域幅1352、及び第1評価領域深さ1353を有して良い。第1評価領域高さ1351、第1評価領域幅1352、及び第1評価領域深さ1353は、1つ以上の第1マイクロブリッジ解析処理の入力パラメータであって良い。第1評価領域高さ1351は約20nm〜約500nmまで変化して良い。第1評価領域幅1352は約20nm〜約1000nmまで変化して良い。第1評価領域深さ1353は約20nm〜約1000nmまで変化して良い。それに加えて、3次元(AVDvol)データが、計算、推定、及び/又はシミュレーションされるときには、第1評価領域深さ1353は、検査中の解析部(1360,1360’)に係る第1深さ1363と実質的に同一であって良く、かつ1つ以上の第1の統計に基づく(PPDV)欠陥解析処理の入力パラメータであって良い。
【0174】
実験データの一部は、Mijkl個のシミュレーションパラメータからなる群のうちの少なくとも1つがブロックポリマー濃度値を有して良く、かつ第1シミュレーションデータマップ1340がブロックポリマー濃度を有して良いことを示し、かつ、1つ以上の輪郭線1345が各異なるブロックポリマー濃度値を表して良いことを示している。それに加えて、複数のリソグラフィ関連変数を用いてブロックポリマー濃度データをシミュレーションするのに用いることのできる修正されたフォトレジストモデルが開発されている。
【0175】
それに加えて、Mijkl個のシミュレーションパラメータうちの1つ以上を用いて、内部に複数の輪郭線1345を有する1つ以上のシミュレーションマップ1340を生成することが可能な修正されたフォトレジストモデルが開発された。修正されたフォトレジストモデルは、欠陥を含有する解析部(たとえば1360’)のポリマー脱保護データマップをシミュレーションするのに用いられて良い。前記ポリマー脱保護データマップは、設定された閾値及び/又は限界と比較されることで、いつ実際の欠陥が生じるのかを判断することができる。欠陥含有解析部1360’が検査されるとき、欠陥含有解析部1360’についてのCDSEMデータが得られることで、欠陥をさらに特徴付けることができる。それに加えて、修正されたフォトレジストモデルは、様々な組の露光変数、様々な組の照射量変数、様々な組の時間変数、様々な組の材料変数、様々な組の温度変数、及び/又は様々な組の厚さ変数を用いて、様々な組のシミュレーションデータを計算することで、欠陥含有解析部1360’に関連づけて良い。たとえば様々なシミュレーションデータマップ1340内の様々な輪郭線1345に関連づけることのできる様々な組のシミュレーションデータは、ブロックポリマー濃度データ、ブロックポリマー脱保護データ、ポリマー保護データ、反応種のデータ、非反応種のデータ、脱ブロックポリマーのデータ、活性化されないポリマーのデータ、離脱基データ、酸濃度データ、塩基濃度データ、ARCデータ、BARCデータ、若しくはTARCデータ、又はこれらの組み合わせを有して良い。それに加えて、様々な組のシミュレーションデータは、様々な組の統計データ内に「共有(pool)」されて良い。前記様々な組の統計データは、1つ以上の各異なるマイクロブリッジ欠陥1335を特定するのに用いられて良い。1つ以上の各異なるマイクロブリッジ欠陥1335は、欠陥含有解析部1360’に関連づけられて良い。
【0176】
シミュレーションデータマップ1340の各々は、シミュレーションデータの各異なる値を表す複数の輪郭線1345を有して良い。一部の例では、シミュレーションデータマップ1340が、第1方向(z方向)に位置合わせされ、かつシミュレーションによるポリマー脱保護データを供するフォトレジストモデルを用いることによって生成されるとき、輪郭線1345は各異なるポリマー脱保護値を表すことが可能で、シミュレーションデータマップ1340が、ミュレーションによる酸の拡散データを供するフォトレジストモデルを用いることによって生成されるとき、輪郭線1345は各異なる酸の濃度値を表すことが可能である。それに加えて、評価領域1350は、シミュレーションデータマップ1340の各々内に既知(所定)の計算領域を供するのに用いられて良い。シミュレーションデータマップ1340は、解析変数データ(AVDvol)を計算するのに用いることができる。評価領域1350のサイズは検査されているテクノロジーノード(technology node)に係る寸法によって決定されて良い。本願発明者は、欠陥解析処理中に40nm幅の評価領域を用いた。たとえば評価領域1350内部の輪郭線1345によって表されたデータ値は、合計され、平均化され、かつ/又は積算されることで、各異なるポリマー脱保護値を表すことができる解析変数データ(AVDvol)を設定して良い。
【0177】
シミュレーションデータマップ1340がシミュレーションによるブロックポリマー濃度データを有するとき、評価領域1350内部で輪郭線によって表されたブロックポリマー濃度データは、合計され、平均化され、かつ/又は積算されることで、各異なる酸の濃度値を表すことができる解析変数データ(AVDvol)を設定して良い。
【0178】
シミュレーションデータマップ1340がシミュレーションによる発光団濃度データを有するとき、評価領域1350内部で輪郭線によって表された発光団濃度データは、合計され、平均化され、かつ/又は積算されることで、各異なる発光団濃度値を表すことができる解析変数データ(AVDvol)を設定して良い。
【0179】
一部の典型的処理では、第1組の解析変数データ(AVDvol)1は、マイクロブリッジ欠陥1335と関連するように第1組の共有されたポリマー脱保護分散データ[(PPDV,σm)]1を決定するのに用いられて良く、第2組の解析変数データ(AVDvol)2は、マイクロブリッジ欠陥1335と関連するように第2組の共有されたポリマー脱保護分散データ[(PPDV,σm)]2を決定するのに用いられて良く、第3組の解析変数データ(AVDvol)3は、マイクロブリッジ欠陥1335と関連するように第3組の共有されたポリマー脱保護分散データ[(PPDV,σm)]3を決定するのに用いられて良く、かつ、第n組の解析変数データ(AVDvol)nは、マイクロブリッジ欠陥1335と関連するように第n組の共有されたポリマー脱保護分散データ[(PPDV,σm)]nを決定するのに用いられて良い。
【0180】
評価領域1350内の3D空間(体積)が潜在的な欠陥−たとえばマイクロブリッジ欠陥1335−を有するときには、評価領域に係る確率値は「1」と設定されて良い。評価領域1350内の3D空間(体積)が潜在的な欠陥を含まないときには、評価領域に係る確率値は「0」と設定されて良い。
【0181】
一部の実施例では、1つ以上の2次元シミュレーションデータマップ1340は、3D評価領域1350及び/又は3D解析部1360によって設定された3次元空間(体積)内部において位置設定されて良い。それに加えて、各異なる解析変数値が、第1評価領域1350の各異なるサイズについて得られて良い。
【0182】
一部の例では、解析変数データ(AVDvol値)は、評価領域1350内に図示された1つ以上の画素1355を用い、かつ、評価領域1350内の1つ以上の画素1355の輪郭線1345の値を、積算、合計、及び/又は平均化することによって計算されて良い。シミュレーションデータマップ1340が複数のグレースケールを有するとき、各グレースケールには計算中の各異なるシミュレーション値が割り当てられて良い。シミュレーションデータマップ1340が複数の色を有するとき、各色には計算中の各異なるシミュレーション値が割り当てられて良い。それに加えて、平均化されたAVD値について1つ以上の精度限界が設定され、かつ、用いられるべきではない不正確なAVD値が得られたときには、計算されたAVD値は前記1つ以上の精度限界と比較されて良い。
【0183】
たとえば検査中の解析部(1360,1360’)に係るAVD値は、ブロックポリマー濃度データ、ブロックポリマー脱保護データ、脱結合ポリマー濃度データ、反応種データ、非反応種データ、脱ブロックポリマーデータ、非活性化ポリマーデータ、離脱基データ、酸の濃度データ、塩基の濃度データ、ARCデータ、BARCデータ、若しくはTARCデータ、又はこれらの結合を有して良い。それに加えて、各異なる組のAVD値が、欠陥含有解析部1360’、評価領域データ、及び/又は画素データに係る複数の異なる表に記憶されて良い。たとえば、特定された「ホットスポット」、「明るいスポット」、及び/又は「暗いスポット」に係る第1基板の部分は、解析部(1360,1360’)又は他の部分を用いて検査されて良く、かつ、これらの新規な方法は、マイクロブリッジ欠陥、LWR欠陥、及び/又はLER欠陥を特定する高速の統計に基づく処理を供する。それに加えて、他の特定された「強度差」(境界領域)に係る第1基板の部分は、解析部(1360,1360’)を用いて検査されることで、より総合的な欠陥解析を供することが可能で、かつ、これらの新規な方法は、マイクロブリッジ欠陥及びLWR問題を特定する高速の統計に基づく処理を供する。
【0184】
一部の実施例では、解析部(1360’)が、基板表面の光学像中の「ホットスポット」、「明るいスポット」、又は「暗いスポット」に関連づけられるとき、その解析部(1360’)が、マイクロブリッジ欠陥、LWR欠陥、又はLER欠陥を有する確率を高い値に設定することができる。たとえば、高い確率(Pmb1)値は約80%〜約100%の間で変化して良い。あるいはその代わりに他の高い値が用いられても良い。それに加えて、解析部(1360)が、基板表面の光学像中の他の特定された「強度差」のうちの1つに関連づけられるとき、欠陥を有する恐れのある解析部(1360)が解析部(1360)を含む確率(Pub)は低い値に設定されて良い。たとえば低い確率(Pmb1)値は約20%〜約80%の間で変化して良い。あるいはその代わりに他の低い値が用いられても良い。さらに、解析部(1360)が、基板表面の光学像中の「ホットスポット」、「明るいスポット」、又は「暗いスポット」に関連づけられないとき、その解析部(1360)がマイクロブリッジ欠陥を有する確率(Pmb1)値は低い値に設定されて良い。たとえば低い確率(Pmb1)値は約0%〜約20%の間で変化して良い。あるいはその代わりに他の低い値が用いられても良い。
【0185】
一部の実施例では、欠陥を有する恐れのある解析部を、基板表面の光学像中の「ホットスポット」、「明るいスポット」、「暗いスポット」、又は他の高強度スポットに関連づけることができるとき、「高確率」解析部(1360’)は「スポット」に関連づけられて良く、かつ1つ以上の「高確率」シミュレーションデータマップは、1つ以上の「高確率」AVD値を決定するのに用いられて良い。たとえば、これらの「高確率」解析部(1360’)に係る「高確率」解析変数データは、シミュレーションデータの平均値を用いて計算されて良く、かつ、これらの「高確率」解析部(1360’)に係る計算された「高確率」解析部は、マイクロブリッジ欠陥、LWR欠陥、又はLER欠陥についての高確率値に関連づけることができる。あるいはその代わりに他の解析変数及び/又は他の計算法が用いられても良い。
【0186】
他の実施例では、欠陥を有する恐れのある解析部を、基板表面の光学像中の「ホットスポット」、「明るいスポット」、「暗いスポット」、又は他の高強度スポットに関連づけることができないとき、1つ以上の「低確率」値が、これらの「低確率」解析部(1360)に関連づけられて良い。たとえば、これらの「低確率」解析部(1360)に関連づけられた「低確率」解析変数データは、シミュレーションデータの平均値を用いて計算されて良く、かつ、これらの「低確率」解析部(1360)に係る計算された「低確率」解析変数データは、マイクロブリッジ欠陥、LWR欠陥、又はLER欠陥の低確率値に関連づけられて良い。あるいはその代わりに他の解析変数及び/又は他の計算法が用いられても良い。
【0187】
様々な例では、各異なる組のプロセスパラメータは、照射パラメータ、露光パラメータ、フォトレジストパラメータ、現像パラメータ、熱パラメータ、ポリマーパラメータ、ブロック基パラメータ、離脱基パラメータ、発光団パラメータ、塩基パラメータ、若しくは酸パラメータ、又はこれらの組み合わせを有して良い。欠陥を有する恐れのあるICパターン(1305)の各々の欠陥データが1つ以上のプロセスパラメータに対してプロットされることで、多数の確率曲線が生成されて良い。それに加えて、全ての欠陥を有する恐れのあるICパターン(1305)の合計欠陥データが1つ以上のプロセスパラメータに対してプロットされることで、追加の確率曲線が生成されて良い。たとえば露光パラメータは、照射量データ、焦点データ、強度データ、波長データ、及び他の光学データを有して良い。現像データは、フォトレジストデータ、現像データ、スピンデータ、濃度データ、時間データ、及び他の装置データを有して良い。熱処理パラメータは、PABデータ、PEBデータ、冷却プレートデータ、遅延時間データ、均一性データ、及び他の装置データを有して良い。
【0188】
歩留まりのモデル化が行われるとき、修正されたポアソンモデル又は修正された負の二項モデルが用いられて良い。あるいはその代わりに他の歩留まりモデルが用いられても良い。
【0189】
修正された3次元フォトレジストモデルが用いられるとき、その修正された3次元フォトレジストモデルは、露光エネルギー(E)と溶解コントラストパラメータ(γ、R0、及びRmin)に依存する画像強度(I)成分の関数としての、レジスト内の溶解を含んで良い。それに加えて、レジスト成分中の溶解は、可溶種(S)に基づく酸の拡散項を有して良い。
【0190】
他の実施例では、確率論的モデルパラメータが、物理空間(出力)にわたって生じるポリマーの脱保護量を用いることによってマイクロブリッジ欠陥データを決定するのに用いられて良い。
【0191】
さらに他の実施例では、露光量、焦点、レジスト化学、レジストベーキング条件、水との接触時間、浸漬スポットサイズ等のプロセスエラー分布を用いるモンテカルロ法を用いることによって、マイクロブリッジ確率データはモデル化されて良い。
【0192】
現実のプロセスのバリエーションは、あるレベルの統計解析を用いることによって取り込まれる必要がある。パターン設計レイアウトは典型的には、「平均」の目標量に基づく。大規模なバリエーションを含める唯一の現実的な方法は、大面積にわたるブリッジ形成を測定し、かつ故障率の統計分布をEDAレイアウト/OPCフローに適用することである。
【0193】
本発明のEDA法は、大きなIC設計レイアウトについてのプロセス歩留まりの「プロセスによって校正された」予測を可能にする。欠陥の校正によって、設計者又はレイアウト技術者は、設計を修正して良好な歩留まり(DFM)を供することが可能となる。このEDA法は、プロセスの最小限の理解と共に適用されて良い。このEDA法は、設計規則への入力としての、プロセスエラー及び欠陥生成の「統計的」性質に焦点を当てる。
【0194】
このEDA法はLERを含む単純な方法を有する。LERは特徴部位のライン端部から定義される。ポリマー脱保護勾配(dm/dx)のシミュレーションを行うことによってLERを評価するのが一般的である。
【0195】
一部の実施例では、統計的方法は、画像閾値のみを用いる代わりにICパターン位置の故障率を決定するのに用いられ、かつ、これらの新規な方法は、ライン端部粗さの計算を単純にすることを可能にする。これは、現在のパターンに基づく設計レイアウト位置設定アルゴリズムには含まれない。統計解析は、良好な統計(100万+統計)を得るために大きなマスク面積から収集された実際の製造現場のマイクロブリッジデータを用いる。これは、基板(ウエハ)のばらつきデータにわたって、基板(ウエハ)間のばらつきデータにわたって、及びロット間のばらつきデータにわたって正確なデータを得る唯一の方法である。ブリッジ欠陥検査装置を利用することで、設計EDAレイアウト、基本OPCのホットスポット/目標の方法に適用可能な高レベルの統計的なブリッジ形成及び粗さデータが可能となる。
【0196】
本発明のEDA法は、ブリッジ形成故障率を計算する手段として「統計的欠陥分布」をシミュレーションパラメータに関連づけることができるので、新規である。本発明のEDA法はまた、LERを含む単純な方法を可能にする。実験的に測定される共有されたばらつきパラメータを用いた「ハイブリッド」解析法は、典型的には計算の手間がかかるモンテカルロ法を用いてシミュレーションされる実際の製造バリエーションをとらえる。本発明の方法は単純で、かつ実際のプロセスブリッジ形成故障率を安定させる。
【0197】
本発明の新たなEDA法は、IC設計レイアウト(DFM)の生産性を改善する手段としての設計規則/ソフトウエアに組み込まれて良い。IC会社のみならずソフトウエア会社も、特定の設計レイアウトについてのプロセス歩留まりの結果を良好に予測することが可能な方法に確立した関心を有している。本発明のシミュレーション法は、全体の画像化システム内部のプロセス(トラック)の欠陥の寄与を強調する、又はその重要性を減じるのに用いられて良い。ICの顧客が自分たちのトラック技術を良好に最適化するのに利用することが可能なプラットフォームとして、新たなEDA法は影響力を及ぼすことができる。
【0198】
限界を満足しないときには補正行為が用いられて良い。補正行為は、処理の停止、処理の中断、1つ以上の基板の再評価、1つ以上の基板の再測定、1つ以上の基板の再検査、1つ以上の基板の再加工、1つ以上の基板の保存、1つ以上の基板の洗浄、1つ以上の基板の遅延、若しくは1つ以上の基板の剥離、又はこれらの組み合わせを有して良い。
【0199】
それに加えて、リアルタイムデータ及び/又は履歴データは、ゲートデータ、ドレインデータ、ソースデータ、キャパシタデータ、ビアデータ、トレンチデータ、2次元メモリ構造データ、3次元メモリ構造データ、SWAデータ、底部CDデータ、上部CDデータ、昼間部CDデータ、ポリゴンデータ、アレイデータ、終期構造データ、位置合わせ特徴部位データ、ドーピングデータ、歪みデータ、損傷構造データ、若しくは参照構造データ、又はこれらの組み合わせを有して良い。
【0200】
欠陥位置は、パターンに依存する位置、レジストに依存する位置、位置合わせに依存する位置、オーバーレイに依存する位置、プロセスに依存する位置、測定に依存する位置、検査に依存する位置、ゲートに依存する位置、基板に依存する位置、若しくは製品に依存する位置、又はこれらの組み合わせを有して良い。限界データは、信頼性の限界、リスクの限界、歩留まりの限界、プロセスに依存する限界、レジストに依存する限界、ホットスポットに依存する限界、エラーに依存する限界、ゲート構造の限界、精度の限界、時間の限界、製品要求の限界、測定の限界、検査の限界、シミュレーションの限界、予測の限界、若しくは履歴の限界、又はこれらの組み合わせを有して良い。たとえば回路設計についての個別的及び/又は全体的な信頼値は、個別的及び/又は全体的な信頼限界と比較されて良い。1つ以上の信頼限界が満たされる場合に回路設計が用いられて良く、あるいは1つ以上の信頼限界が満たされない場合には補正行為が適用されて良い。
【0201】
図14は、本発明の実施例による基板を処理する方法の典型的なフローダイアグラムを表している。図示された実施例では、共有されたポリマー脱保護分散{PPDV,[σm]}データを生成、使用、検証、及び/又は更新する処理1400が図示されている。ここで、m=1,2,…Mで、Mは1以上の整数である。あるいはその代わりに他の共有された分散が用いられても良い。
【0202】
1405では、パターニングされた基板からなる群から選ばれた第1のパターニングされた基板での解析のために欠陥の種類が選択されて良い。たとえば選択された欠陥の種類は、マイクロブリッジ欠陥、ライン端部粗さ(LER)欠陥、又はライン幅粗さ(LWR)欠陥を有して良い。
【0203】
1410では、欠陥解析データが、1つ以上のサブシステムを用いることによって、第1のパターニングされた基板での解析用上の複数の解析部(図10の1015-1065、図11Aの1160Aと1160A’、図11Bの1160Bと1160B’、又は図13の1360と1360’)から取得されて良い。第1のパターニングされた基板は、1つ以上の欠陥を有する恐れのあるICパターン(図10の1010-1060、図11Aの1105A、図11Bの1105B、又は図13の1305)を有して良い。それに加えて、欠陥解析データは、測定データ、検査データ、明視野データ、暗視野データ、NLSデータ、強度データ、強度差データ、コントラストデータ、若しくはホットスポットデータ、又はこれらの組み合わせを有して良い。
【0204】
1415では、第1及び/又は新たな欠陥を有する恐れのある解析部が、欠陥解析データを用いることによって、第1のパターニングされた基板上の第1及び/又は新たな位置で特定されて良く、かつ、第1及び/又は新たな欠陥を有する恐れのある解析部について第1及び/又は新たな解析変数データ(AVDvol)が計算されて良い。欠陥を有する恐れのある解析部に係る評価領域(図13の1350)は、第1型の欠陥を含む3次元体積を画定するのに用いられて良い。あるいはその代わりに2次元空間が画定されても良い。
【0205】
1420では、第1及び/又は新たな(AVDvol)を用いることによって、第1及び/又は新たな欠陥を有する恐れのある解析部について、第1の共有された分散データ−たとえば共有されたポリマー脱保護分散[(PPDV,σm)]calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータ−が計算されて良い。たとえば、mは、[(PPDV,σm)]calcデータに係るm番目のシミュレーションパラメータで、かつσmはm番目のシミュレーションデータに係る標準偏差であって良い。あるいはその代わりに他の共有された分散データが計算されても良い。たとえばm番目のシミュレーションパラメータが、M個のシミュレーションパラメータからなる群から選ばれて良い。
【0206】
1425では、第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータは、1つ以上の共有された分散データライブラリ−たとえば共有された脱保護分散(PPDV,σm)データライブラリ−からリアルタイムで取得されて、第1の計算された[(PPDV,σm)]calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータと比較されて良い。たとえば(PPDV,σm)データライブラリは、共有されたポリマー脱保護分散データ、平均(<AVD>)データ、及び/又は欠陥種類データを内部に有して良い。あるいはその代わりに他の共有された分散データライブラリが用いられても良い。
【0207】
1430では、第1及び/又は新たな差異データが、第1の計算された[(PPDV,σm)]calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータ、並びに、第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータを用いて計算されて良い。
【0208】
1435では、計算された差異の値が第1及び/又は新たな精度限界以下であるときには、第1及び/又は新たな欠陥は、第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータに係る第1型及び/又は新たな種類の欠陥を用いることによって、選択されたパターニング基板上で検査されている欠陥を有する恐れのある解析部内で特定されて良い。たとえば、第1の推定された[(PPDV,σm)]Estデータが、たとえば図11Aに図示されているようなマイクロブリッジ欠陥に関連するとき、欠陥の種類はマイクロブリッジ欠陥として特定され、かつ第1の推定された[(PPDV,σm)]Estデータが、たとえば図11Bに図示されているようなLER欠陥に関連するとき、欠陥の種類はLER欠陥として特定されて良い。それに加えて、第1の推定された[(PPDV,σm)]EstデータがLWR欠陥(図示されていない)に関連するとき、欠陥の種類はLWR欠陥として特定され、かつ第1の推定された[(PPDV,σm)]Estデータが粒子欠陥(図示されていない)に関連するとき、欠陥の種類は粒子欠陥として特定されて良い。
【0209】
1440では、第1差異値が前記第1精度限界よりも大きいときには、1つ以上の補正行為が行われて良い。たとえば第1差異値が前記第1精度限界よりも大きいときには、第1のパターニングされた基板の解析が継続されて良い。
【0210】
補正行為が行われるとき、図14に図示された工程(1404-1440)のうちの1つ以上が行われて良い。新たな欠陥データ種類が選択されて良く、第1及び/又は新たな欠陥を有する恐れのある解析部について新たな解析変数データ(AVDvol)newが計算され、第1(AVDvol)1及び/又は新たな(AVDvol)newを用いることによって、第1及び/又は新たな欠陥を有する恐れのある解析部について新たな[(PPDV,σm)]New_Estデータが計算され、新たな[(PPDV,σm)]New_Estデータが、1つ以上の共有された分散データライブラリ−たとえば共有されたポリマー脱保護分散(PPDV,σm)データライブラリ−から取得され、かつ、新たな差異データは、第1の計算された[(PPDV,σm)]calcデータ、新たな計算された[(PPDV,σm)]New_Calcデータ、第1の推定された[(PPDV,σm)]Estデータ、及び新たな[(PPDV,σm)]New_Estデータを用いることによって決定されて良い。それに加えて、第1及び/又は新たな(PPDV,σm)ライブラリから取得された第1の推定された[(PPDV,σm)]Estデータ及び/又は新たに推定された[(PPDV,σm)]New_Estデータを用いることによって、欠陥の種類はリアルタイムに特定されて良い。
【0211】
一部の処理中、第1の計算された[(PPDV,σm)]calcデータが計算されるとき、一部の処理は以下の工程を有して良い。
1) パターニングされた基板上の欠陥を有する恐れのある解析部の各々に関連するように少なくとも校正されたフォトレジストモデルを設定する工程であって、各校正されたフォトレジストモデルは、M個のシミュレーションパラメータからなる群から選択可能なシミュレーションパラメータmを有し、m及びMは整数である、工程;
2) 前記のパターニングされた基板上の選択された欠陥を有する恐れのある解析部に関連する、前記校正されたフォトレジストモデル及び選択されたm番目のシミュレーションパラメータを用いることによって少なくとも1つのシミュレーションデータマップ(図13の1340)を生成する工程であって、前記第1シミュレーションデータマップ(図13の1340)は、前記シミュレーションマップ内の各異なるシミュレーションによるデータ値に関連する複数の輪郭線を有する、工程;
3) 前記の選択されたシミュレーションデータマップ(図13の1340)に関連する評価領域(図13の1350)を決定する工程であって、第1評価領域(図13の1350)は、少なくとも1つの「計算領域」を画定するのに用いられ、かつ第1組の輪郭線(図13の1345)を含むように構成される、工程;
4) 前記第1評価領域(図13の1350)内に画定される第1「計算領域」内に含まれる第1組の輪郭線(図13の1345)に関連するシミュレーションマップ中の様々なシミュレーションによるデータ値の様々な値を用いることによって第1解析変数データ(AVDvol)を計算する工程;並びに、
5) 前記第1解析変数データ(AVDvol)を用いることによって前記の計算された[(PPDV,σm)]calcデータを計算する工程。
【0212】
様々な例では、選択されたシミュレーションパラメータmは、ブロックポリマー濃度データ、脱ブロックポリマー濃度データ、ポリマー脱保護濃度データ、保護ポリマー濃度データ、酸の拡散データ、塩基の拡散データ、NILSデータ、ブロック発光団データ、若しくは脱ブロック発光団データ、又はこれらの結合を有して良い。
【0213】
一部の処理中、新たに推定された[(PPDV,σm)]New_Estデータは、新たな欠陥データ、新たな平均値データ、新たな精度限界データ、及び/又は欠陥を有する恐れのあるICパターンデータを有して良い。他の処理は、パターニングされた基板上の第1の欠陥を有する恐れのある解析部について新たに校正されたフォトレジストモデルを設定する工程を有して良い。前記新たに校正されたフォトレジストモデルは新たなシミュレーションパラメータmnewを有する。前記新たなシミュレーションパラメータmnewは、新たなブロックポリマー濃度データ、新たな脱ブロック濃度データ、新たなポリマー脱保護濃度データ、新たな保護ポリマー濃度データ、新たな酸の拡散データ、新たな塩基の拡散データ、新たなNILSデータ、ブロック発光団データ、若しくは新たな脱ブロック発光団データ、又はこれらの結合を有して良い。他の処理では、各新たなシミュレーションデータマップに関連するように新たな評価領域が生成され、かつ、前記新たな評価領域は、新たな組の新たな輪郭線を含むように構成されて良い。それに加えて、新たな欠陥は、前記前記新たに推定された[(PPDV,σm)]New_Estデータに係る新たな種類の欠陥を用いることによって、パターニングされた基板上の新たな欠陥を有する恐れのある解析部に関連づけられて良く、かつ前記新たな欠陥の種類は、新たなマイクロブリッジ欠陥、新たなLER欠陥、又は新たなLWR欠陥を有して良い。
【0214】
図15は、本発明の実施例による、共有された分散データを用いて、1組の設計規則を生成、更新、及び/又は検証する処理の典型的なフローダイアグラムを図示している。図示された実施例では、共有されたポリマー脱保護分散{PPDV,(σm)ijklmn}データを用いて、1組の設計規則を生成、更新、及び/又は検証する処理1500が示されている。たとえば、i=1,2,・・・Iは、設定可能な各異なる組の設計規則に関連する0又は正の整数をとる変数であって良く、j=1,2,・・・Jiは、検査可能な各異なる数の欠陥を有する恐れのあるICパターンに関連する0又は正の整数をとる変数であって良く、k=1,2,・・・Kijは、検査可能な各異なる数の欠陥を有する恐れのあるICパターンに関連する0又は正の整数をとる変数であって良く、l=1,2,・・・Lijkは、検査可能な各異なる数の解析部に関連する0又は正の整数をとる変数であって良く、m=1,2,・・・Mijklは、修正されたフォトレジストモデルを用いて計算可能な、各異なる数のシミュレーションパラメータ及びシミュレーションデータマップに関連する0又は正の整数をとる変数であって良く、かつ、n=1,2,・・・Nijklmは、解析変数データ(AVD)が計算可能な各異なる数の評価領域に関連する0又は正の整数をとる変数であって良い。それに加えて、は、I, Ji, Kij, Lijk, Mijkl及びNijklmは1以上の整数である。あるいはその代わりに他の共有された分散データが用いられても良い。
【0215】
1510では、解析、検証、及び/又は更新用に、第1組の設計規則及び該設計規則に係る欠陥の種類が選択されて良い。第1組の設計規則は、少なくとも1つの処理シーケンスの設定に用いられ、かつ各処理シーケンスは、1つ以上のマスク生成処理、1つ以上の堆積処理、1つ以上のコーティング処理、1つ以上の熱処理、1つ以上の注入処理、1つ以上のドーピング処理、1つ以上の露光処理、1つ以上の現像処理、1つ以上のリソグラフィ関連処理、1つ以上の露光関連処理、1つ以上の測定処理、1つ以上の検査処理、1つ以上の評価処理、1つ以上のシミュレーション処理、1つ以上の予測処理、1つ以上の再加工処理、1つ以上の保存処理、1つ以上の搬送処理、1つ以上のモデル化処理、若しくは1つ以上の洗浄処理、これらを組み合わせた処理を有して良い。
【0216】
1515では、1つ以上のパターニングされた(検証用)基板が、少なくとも1つの処理シーケンス及び1つ以上のサブシステム(110、120、130、140、150、160、及び170)を用いることによって処理されて良い。処理中、1つ以上の欠陥を有する恐れのあるICパターン(図10の1010-1060、図11Aの1105A、図11Bの1105B、又は図13の1305)が、少なくとも1つのパターニングされた(検証用)基板上に生成されて良い。それに加えて、欠陥を有する恐れのあるICパターンは、マイクロブリッジ欠陥、LER欠陥、若しくはLWR欠陥、又はこれらの結合を有して良い。
【0217】
1520では、処理システム100内の1つ以上のサブシステム(110、120、130、140、150、160、及び170)を用いることによって、少なくとも1つの少なくとも1つのパターニングされた(検証用)基板から欠陥解析データが取得されて良い。複数の解析部(図10の1015-1065、図11Aの1160A、図11Bの1160B、又は図13の1360と1360’)が、欠陥解析データを用いることによって第1のパターニングされた基板上に生成される。それに加えて、欠陥解析データは、測定データ、検査データ、明視野データ、暗視野データ、NLSデータ、強度データ、強度差データ、コントラストデータ、粒子データ、若しくはホットスポットデータ、又はこれらの結合を有して良い。
【0218】
1525では、第1パターニングされた基板上で特定された各欠陥を有する恐れのある解析部について1つ以上のシミュレーションマップが計算され、かつ解析変数データ(AVDvol)が、少なくとも1つのシミュレーションマップ及び少なくとも1つの評価領域(図13の1350)を用いることによって計算されて良い。たとえば第1の欠陥を有する恐れのある解析部に係る評価領域は、第1型の欠陥を有する3次元の計算体積を画定するのに用いられて良い。あるいはその代わりに2次元の計算空間が用いられても良い。
【0219】
1530では、各欠陥を有する恐れのある解析部について共有された分散データ−たとえば共有されたポリマー脱保護分散[(PPDV,σm)]Calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータ−が、第1及び/又は新たな(AVDvol)を用いることによって計算されて良い。たとえば、mは、[(PPDV,σm)]Calcデータに係るm番目のシミュレーションパラメータであって良く、かつσmは、m番目の組のシミュレーションデータに係る標準偏差であって良い。あるいはその代わりに他の共有された分散データが計算されても良い。たとえばm番目のシミュレーションパラメータが、M個のシミュレーションパラメータからなる群から選ばれて良い。
【0220】
1535では、第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータは、1つ以上の共有された分散データライブラリ−たとえば共有されたポリマー脱保護分散(PPDV,σm)データライブラリ−からリアルタイムで取得されることで、第1の計算された[(PPDV,σm)]calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータと比較されて良い。たとえば(PPDV,σm)ライブラリは、共有されたポリマー脱保護分散データ、平均値(<AVD>)データ、及び/又は欠陥種類データを有して良い。あるいはその代わりに他の共有された分散データライブラリが用いられても良い。
【0221】
1540では、第1及び/又は新たな差異データが、第1の計算された[(PPDV,σm)]calcデータ及び/又は新たな計算された[(PPDV,σm)]New_Calcデータ並びに第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータを用いて決定されて良い。
【0222】
1545では、第1及び/又は新たな差異データが第1及び/又は新たな精度限界以下であるとき、設計規則の組は、(PPDV,σm)データライブラリ内に過去に保存された設計規則の履歴の組として特定されて良い。様々な例では、第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータは履歴設計規則データを有して良く、かつ設計規則の履歴の組は、良好な設計規則からなる組と劣悪な設計規則からなる組を有して良い。それに加えて、第1の推定された[(PPDV,σm)]Estデータは、設計規則の履歴の組に係るICパターン及び欠陥の種類を有して良い。
【0223】
第1の推定された[(PPDV,σm)]Estデータが、たとえば図11A又は図13に図示されたようなマイクロブリッジ欠陥に関連するとき、欠陥の種類はマイクロブリッジ欠陥として特定されて良く、かつ第1の推定された[(PPDV,σm)]Estデータが、たとえば図11Bに図示されているようなLER欠陥に関連するとき、欠陥の種類はLER欠陥として特定されて良い。それに加えて、第1の推定された[(PPDV,σm)]EstデータがLWR欠陥(図示されていない)に関連するとき、欠陥の種類はLWR欠陥として特定され、かつ第1の推定された[(PPDV,σm)]Estデータが粒子欠陥(図示されていない)に関連するとき、欠陥の種類は粒子欠陥として特定されて良い。
【0224】
1550では、第1差異の値が第1精度限界よりも大きいときには、1つ以上の補正行為が実行される。補正行為が実行されるとき、1つ以上の工程1510-1550が実行されて良い。このとき、新たな組の設計規則が決定され、新たなプロセスシーケンスが用いられ、新たな欠陥データの種類が選択され、新たな欠陥を有する恐れのある解析部が特定され、新たなシミュレーションマップが計算され、新たな評価領域が設定され、第1及び/又は新たな欠陥を有する恐れのある解析部について新たな解析変数データ(AVDvol)Newが計算され、第1及び/又は新たな欠陥を有する恐れのある解析部について新たな計算された[(PPDV,σm)]New_Calcデータが、第1(AVDvol)1及び/又は新たな(AVDvol)Newを用いることによって計算され、新たな[(PPDV,σm)]New_Estデータが、1つ以上の共有された分散データライブラリ−たとえば共有されたポリマー脱保護分散(PPDV,σm)データライブラリ−からリアルタイムに取得され、新たな差異データが、第1の計算された[(PPDV,σm)]calcデータ、新たな計算された[(PPDV,σm)]New_Calcデータ、第1の推定された[(PPDV,σm)]Estデータ、及び/又は新たな[(PPDV,σm)]New_Estデータを用いて決定されて良い。それに加えて、設計規則の組が、第1及び/又は新たな(PPDV,σm)データライブラリからリアルタイムで取得された第1の推定された[(PPDV,σm)]Estデータ及び/又は新たな[(PPDV,σm)]New_Estデータを用いてリアルタイムで検査されて良い。
【0225】
一部のデータ収集例では、1つ以上のICパターンがテストマスク内に生成され、かつフォトレジスト層の1つ以上の領域が、テストマスク及び放射線源を用いることによって、基板上で露光されて良い。たとえば、露光されたフォトレジスト層の1つ以上の領域は、欠陥を有する恐れのあるICパターンの潜像を有する1つ以上の解析部を有して良い。従ってテストマスクは、基板の別な部分上に別な欠陥を有する恐れのあるICパターンを設定するのに用いられて良く、かつ別な解析部は、前記別な欠陥を有する恐れのあるICパターンを解析するのに用いられて良い。
【0226】
他のデータ収集例では、1つ以上の欠陥を有する恐れのあるICパターン及び/又は構造が、過剰露光及び/又は過小露光処理を用いることによって基板上のフォトレジスト層の1つ以上の領域内に生成されて良い。たとえば露光されたフォトレジスト層の1つ以上の領域は、過剰露光又は過小露光された欠陥を有する恐れのあるICパターンの潜像を有する1つ以上の解析部を有して良い。それに加えて、検査中の解析部について、修正されたフォトレジストモデル、シミュレーションマップ、評価領域、及び関連する露光限界(閾値)が計算されて良い。
【0227】
別なデータ収集例では、1つ以上の欠陥を有する恐れのあるICパターンが、様々な集束処理を用いることによって、基板上のフォトレジスト層の1つ以上領域内に生成されて良い。たとえば、露光されたフォトレジスト層の1つ以上の領域は、不正確な集束処理を用いて生成された欠陥を有する恐れのあるICパターンの潜像を有する1つ以上の解析部を有して良い。露光されたフォトレジスト層はまた、基板上の他の欠陥を有する恐れのあるIC構造の焦点が外れた潜像をも有して良い。それに加えて、検査中の解析部について、修正されたフォトレジストモデル、シミュレーションマップ、評価領域、及び関連する集束限界(閾値)が計算されて良い。
【0228】
一部のデータ収集例では、1つ以上の欠陥を有する恐れのあるICパターン及び/又は構造が、様々な現像処理を用いることによって、基板上のフォトレジスト層の1つ以上の領域内に生成されて良い。たとえば、露光されたフォトレジスト層の1つ以上の領域は、不正確な現像処理を用いて生成された欠陥を有する恐れのあるICパターンの潜像を有する1つ以上の解析部を有して良い。露光されたフォトレジスト層はまた、不正確な現像処理を用いることによって基板上に生成された他の欠陥を有する恐れのあるIC構造についての潜像をも有して良い。それに加えて、検査中の解析部ついて、修正されたフォトレジストモデル、シミュレーションマップ、評価領域、及び関連する現像限界(閾値)が計算されて良い。
【0229】
様々な現像処理中、様々なフォトレジストが用いられ、様々な現像用化学物質が用いられ、様々な現像時間が用いられ、様々な熱処理が用いられ、かつ様々な露光前処理が用いられて良い。それに加えて、様々な現像処理を解析するとき、様々なフォトレジストモデルが用いられ、様々な現像の化学モデルが用いられ、様々な現像時間モデルが用いられ、様々な熱処理モデルが用いられ、かつ様々な露光前処理モデルが用いられて良い。
【0230】
別なデータ収集例では、1つ以上のことなる位置合わせ処理が、欠陥を有する恐れのあるICパターン及び/又は構造を生成するように実行されて良い。たとえば、2つ以上のマスク工程間でのオフセットエラーは、オーバーレイに関連する欠陥を有する恐れのあるICパターン及び/又は構造を生成するのに用いられて良く、かつ、1つ以上の補正位置合わせ処理は、参照データを設定するように実行されて良い。それに加えて、検査中の解析部について、修正されたフォトレジストモデル、シミュレーションマップ、評価領域、及び関連する位置合わせ限界(閾値)が計算されて良い。
【0231】
さらに他の例では、マイクロブリッジ形成確率データはチャンバに依存して良く、マイクロブリッジ形成確率データを生成及び/又は検証するときには、チャンバに適合するデータ及び/又は処理が用いられて良い。たとえばチャンバに適合するデータは、1つ以上の処理装置(113、123、133、143、153、及び163)及び/又は1つ以上の評価装置(115、125、135、145、155、及び165)から取得されて良い。
【0232】
光学データが得られるとき、1つ以上のビームが設定され、かつ前記1つ以上のビームは、1つ以上の角度を有して良い。前記1つ以上のビームは、偏光又は非偏光であって良く、かつ1種類の波長又は他種類の波長を有して良い。1つ以上のビームは、解析部内の欠陥を有する恐れのあるICパターンを照射するのに用いられて良い。1つ以上の空間像が1つ以上の解析部から得られて良い。1つ以上の画像パラメータが決定されて良い。
【0233】
一部の実施例では、光学データはシミュレーションデータを有して良く、かつ、解析部及び/又は評価領域と関連するシミュレーションによる光学データを取得する方法は、シミュレーションによる光源を用いることによって第1入射角を有する第1ビームのシミュレーションを行う工程、前記のシミュレーションによる第1ビームを用いることによって前記解析部及び/又は評価領域内の欠陥を有する恐れのあるICパターンを照射する工程、第1の欠陥を有する恐れのあるICパターンについてシミュレーションによる空間像を取得する工程、前記第1の欠陥を有する恐れのあるICパターンについてシミュレーションによる確率値を決定する工程、前記第1の欠陥を有する恐れのあるICパターンについてシミュレーションによる分散値を決定する工程、前記第1の欠陥を有する恐れのあるICパターンについて第1のシミュレーションによるライブラリ生成基準を決定する工程、前記第1のシミュレーションによるライブラリ生成基準が満たされるときに前記ライブラリ内のシミュレーションによるデータを保存する工程、及び前記第1のシミュレーションによるライブラリ生成基準が満たされないときに補正行為を実行する工程を有して良い。
【0234】
検証されたマイクロブリッジ欠陥生成シーケンス及び/又は参考マイクロブリッジ欠陥生成シーケンスが、検証された及び/又は参考の欠陥及び/又は非欠陥のICパターン及び/又は構造を生成するのに用いられて良い。検証されたマイクロブリッジ欠陥生成シーケンスはデータベース又はライブラリ中に保存されて良い。検証されたマイクロブリッジ欠陥生成シーケンスが実行されるとき、1つ以上の参考の(検証された)欠陥ICパターン及び/又は構造が、各被処理基板上の1つ以上の位置に生成されて良い。未検証のマイクロブリッジ欠陥生成処理が実行されるとき、1つ以上の未検証の欠陥を有する恐れのあるICパターン及び/又は構造が、各被処理基板上の1つ以上の位置に生成されて良い。
【0235】
良好なレジストモデルが開発されたとき、画像コントラスト、レジスト動特性、及びレジスト溶解コントラストを有する画像パラメータ(IP)関係が用いられて良い。IP閾値での低コントラスト画像化は浸漬によって促進されるPAG損失に対してより敏感である。スキャナは、レジストに対する水の接触時間の不均一な分布(残りの水滴も含む)を含む。ホットスポットパターン及び/又は構造は、ブリッジ欠陥、ライン幅解像度(LWR)問題、及び局所的なCDばらつきを有して良い。
【0236】
有効及び/又は評価の決定が、基板からのデータを用いることによってはできないときには、1つ以上の追加の基板が用いられて良い。欠陥基板が特定されるとき、欠陥基板の値は、「修理可能」、「修理不可能」、「全ロットの修理」、「全ロットの廃棄」、及び「処理の中止」を有して良い。欠陥回路レイアウトが特定されるとき、その欠陥レイアウト状態の値は、「修理可能」、「修理不可能」、「設計規則の変更」、「モデルの変更」、「処理シーケンスの変更」、「選択されたサブシステムの変更」及び「処理の中止」を有して良い。
【0237】
様々な例では、欠陥関連ライブラリは、単一層の欠陥、多層の欠陥、部分エッチング欠陥、エッチング欠陥、トリミング欠陥、ドーピング欠陥、ターゲット欠陥、キャパシタ欠陥、ゲート欠陥、搬送欠陥、トランジスタ欠陥、FinFET構造中の欠陥、相補型金属−酸化物−半導体(CMOS)構造中の欠陥、pFET中の欠陥、nFET中の欠陥、フォトレジスト中の欠陥、位置合わせターゲット中の欠陥、トレンチ構造中の欠陥、ビア構造中の欠陥、アレイ構造中の欠陥、グレーティング構造中の欠陥、又はこれらの組み合わせの欠陥のデータを有して良い。
【0238】
それに加えて、判定及び/又は介入規則が処理に関連するとき、その判定及び/又は介入規則は実行されて良い。介入及び/又は判定規則の評価処理及び/又は限界は、履歴処理、顧客の経験、若しくはプロセス知識に基づいて実行されて良く、又はホストコンピュータから取得されても良い。マイクロブリッジに基づく規則が、欠陥条件、警告条件、エラー条件、故障条件、及び/又は注意条件に対してどのように応答するのかを決定する誤り検出及び分類(FDC)処理において用いられて良い。欠陥関連FDC処理が、欠陥を優先及び/又は分類し、システム性能を予測し、予防的メインテナンススケジュールを予測し、メインテナンス不稼働時間を減らし、かつシステム内の消耗品の寿命をのばすことができる。
【0239】
処理が実行される前、間、及び/又は後、シミュレーション、モデル化、及び/又は予測データが、生成及び/又は修正されて良い。新たなシミュレーション及び/又は予測データは、リアルタイムでの計算、モデル、及び/又は処理の更新を行うのに用いられて良い。たとえば予測モデルは、プロセス化学モデル、チャンバモデル、EMモデル、SPC図、PLSモデル、PCAモデル、FDCモデル、及び多分散解析(MVA)モデルを有して良い。
【0240】
履歴データは、GOFデータ、熱データ、厚さデータ、ビア関連データ、CDデータ、CDプロファイルデータ、材料関連データ、トレンチ関連データ、側壁角関連データ、微分幅データ、又はこれらの組み合わせデータを有して良い。そのデータはまたとりわけ、位置の結果データ、位置の数データ、CD測定フラグデータ、測定位置の数データ、X座標データ、及びY座標データをも有して良い。

【符号の説明】
【0241】
100 処理システム
105 基板
110 リソグラフィサブシステム
111 経路
112 搬送/格納装置
113 処理装置
114 制御装置
115 評価装置
120 露光サブシステム
121 経路
122 搬送/格納装置
123 処理装置
124 制御装置
125 評価装置
130 エッチングサブシステム
131 経路
132 搬送/格納装置
133 処理装置
134 制御装置
135 評価装置
140 堆積サブシステム
141 経路
142 搬送/格納装置
143 処理装置
144 制御装置
145 評価装置
150 検査サブシステム
151 経路
152 搬送/格納装置
153 処理装置
154 制御装置
155 評価装置
160 計測サブシステム
161 経路
162 搬送/格納装置
163 処理装置
164 制御装置
165 評価装置
170 搬送サブシステム
174 搬送装置
175 搬送トラック
176 搬送トラック
177 搬送トラック
180 製造実行システム(MES)
181 データ搬送サブシステム
190 システム制御装置
191 データ搬送サブシステム
195 メモリ/データベース
1010 ICパターン
1011 第1ライン
1012 第2ライン
1013 分離領域
1015 解析箇所
1020 ICパターン
1021 第1ビア
1022 他のライン
1023 分離領域
1025 解析箇所
1030 ICパターン
1031 第1角部
1032 他のライン
1033 分離領域
1035 解析箇所
1040 ICパターン
1041 第1ライン
1042 第2ライン
1043 分離領域
1045 解析箇所
1050 ICパターン
1051 第1ライン
1052 第2ライン
1053 分離領域
1055 解析箇所
1060 ICパターン
1061 第1ライン
1062 第2ライン
1063 分離領域
1065 解析箇所
1100 欠陥解析部
1105 ICパターン
1110 第1ライン部
1120 第2ライン部
1130 スペース領域
1160 解析部
1300 欠陥解析マップ
1301 パターニングされた基板
1305 第1のICパターン
1310 第1部位
1311 第1部位高さ
1312 第1部位幅
1313 第1部位の長さ
1319 第1方向
1320 第2部位
1321 第2部位高さ
1322 第2部位幅
1323 第2部位の長さ
1329 第2方向
1330 第1空間領域
1331 第1スペース領域高さ
1332 第1スペース領域幅
1333 第1空間領域の長さ
1335 マイクロブリッジ欠陥
1339 第3方向
1340 シミュレーションデータマップ
1341 第1シミュレーションデータマップ長さ
1342 第1シミュレーションデータマップ幅
1345 輪郭線
1350 評価領域
1351 第1評価領域高さ
1352 第1評価領域幅
1353 第1評価領域深さ
1360 解析部
1361 第1高さ
1362 第1幅
1363 第1深さ

【特許請求の範囲】
【請求項1】
統計的分散データを用いて基板を処理する方法であって:
パターニングされた基板からなる群から選択された第1のパターニングされた基板上での解析のための第1の欠陥の種類を設定する工程であって、前記第1の欠陥の種類は、マイクロブリッジ欠陥、ライン端部粗さ(LER)欠陥、又はライン幅粗さ(LWR)欠陥を有する、欠陥種類設定工程;
前記第1のパターニングされた基板上の複数の解析部から欠陥解析データを収集する工程であって、前記欠陥解析データは、明視野データ、暗視野データ、NLSデータ、強度データ、強度差データ、コントラストデータ、若しくはホットスポットデータ、又は上記組み合わせのデータを有する、データ収集工程;
前記欠陥解析データを用いることによって前記第1のパターニングされた基板上の第1位置で第1の欠陥を有する恐れのある解析部を特定する工程であって、前記第1の欠陥を有する恐れのある解析部は、前記第1の欠陥の種類を含む3次元体積を画定する、解析部特定工程;
前記第1の欠陥を有する恐れのある解析部について第1の共有されたポリマー脱保護分散[(PPDV,σm)]Calcデータを計算する工程であって、mはシミュレーションパラメータで、かつσmは前記シミュレーションパラメータmの標準偏差である、PPDV計算工程;
第1の共有されたポリマー脱保護分散(PPDV,σm)データライブラリからリアルタイムで第1の推定された[(PPDV,σm)]Estデータを取得して前記の第1の計算された[(PPDV,σm)]Calcデータと比較する工程であって、前記[(PPDV,σm)]Estデータは、解析変数データ(AVD)、平均値(<AVD>)データ、シミュレーションデータ、フォトレジストモデルデータ、集積回路(IC)データ、設計規則データ、処理シーケンスデータ、基板データ、評価領域データ、若しくは欠陥種類データ、又は上記の組み合わせデータを有する、データ取得工程;
前記第1の計算された[(PPDV,σm)]Calcデータ及び前記第1の推定された[(PPDV,σm)]Estデータを用いることによって第1差異の値を決定する第1差異決定工程;
前記第1差異の値が第1精度限界以下であるときには、前記第1の推定された[(PPDV,σm)]Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の第1欠陥を特定する第1欠陥特定工程;並びに、
前記第1差異の値が前記第1精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
を有する方法。
【請求項2】
前記PPDV計算工程が:
前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部について第1の修正されたフォトレジストモデルを設定する工程であって、
前記第1の修正されたフォトレジストモデルは第1シミュレーションパラメータ(m1)を有し、
前記第1シミュレーションパラメータ(m1)は、ブロックポリマー濃度データ、脱ブロックポリマー濃度データ、酸の拡散データ、塩基の拡散データ、NILSデータ、ブロック発光団データ、若しくは脱ブロック発光団データ、又は上記を組み合わせたデータを有する、
フォトレジストモデル設定工程;
前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部についての前記第1の修正されたフォトレジストモデル及び第1シミュレーションパラメータ(m1)を用いることによって第1シミュレーションデータマップを生成する工程であって、前記第1シミュレーションデータマップは、各異なるシミュレーションデータ値に係る複数の輪郭線を有する、シミュレーションデータマップ生成工程;
前記第1シミュレーションデータマップに関連するように第1評価領域を設定する工程であって、前記第1評価領域は、第1組の前記輪郭線を含むように構成される、評価領域設定工程;
前記第1評価領域を用いることによって第1の3次元解析変数データ(AVDvol)1を計算するAVDvol計算工程;並びに、
前記第1の3次元解析変数データ(AVDvol)1を用いることによって前記第1の計算された[(PPDV,σm)]Calcデータを計算する[(PPDV,σm)]Calcデータ計算工程;
を有する、請求項1に記載の方法。
【請求項3】
前記継続工程が:
前記第1の(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の第1の計算された[(PPDV,σm)]Calcデータと比較する工程であって、前記新たな推定された[(PPDV,σm)]New_Estデータは、新たな解析変数データ(AVD)New、新たな平均値(<AVD>)Newデータ、新たなシミュレーションデータ、新たなフォトレジストモデルデータ、新たなICデータ、新たな設計規則データ、新たな処理シーケンスデータ、新たな基板データ、新たな評価領域データ、若しくは新たな欠陥種類データ、又は上記の組み合わせデータを有する、新たなデータ取得工程;
前記第1の計算された[(PPDV,σm)]Calcデータ及び前記新たな推定された[(PPDV,σm)]New_Estデータを用いることによって新たな差異の値を決定する新たな差異決定工程;
前記新たな差異の値が新たな精度限界以下であるときには、前記新たな推定された[(PPDV,σm)]New_Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の新たな欠陥を特定する新たな欠陥特定工程;並びに、
前記新たな差異の値が前記新たな精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項1に記載の方法。
【請求項4】
前記継続工程が:
前記第1の欠陥を有する恐れのある解析部について新たな共有されたポリマー脱保護分散[(PPDV,σm)]New_Calcデータを計算する計算工程
前記第1の(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の新たに計算された[(PPDV,σm)]New_Calcデータと比較する工程であって、前記新たな推定された[(PPDV,σm)]New_Estデータは、新たな解析変数データ(AVD)New、新たな平均値(<AVD>)Newデータ、新たなシミュレーションデータ、新たなフォトレジストモデルデータ、新たなICデータ、新たな設計規則データ、新たな処理シーケンスデータ、新たな基板データ、新たな評価領域データ、若しくは新たな欠陥種類データ、又は上記の組み合わせデータを有する、新たなデータ取得工程;
前記新たに計算された[(PPDV,σm)]New_Calcデータ及び前記新たな推定された[(PPDV,σm)]New_Estデータを用いることによって新たな差異の値を決定する新たな差異決定工程;
前記新たな差異の値が新たな精度限界以下であるときには、前記新たな推定された[(PPDV,σm)]New_Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の新たな欠陥を特定する新たな欠陥特定工程;並びに、
前記新たな差異の値が前記新たな精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項1に記載の方法。
【請求項5】
前記計算工程が:
前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部について新たに校正されたフォトレジストモデルを設定する工程であって、前記新たに校正されたフォトレジストモデルは新たなシミュレーションパラメータ(m)Newを有し、前記新たなシミュレーションパラメータ(m)Newは、ブロックポリマー濃度データ、脱ブロックポリマー濃度データ、酸の拡散データ、塩基の拡散データ、NILSデータ、ブロック発光団データ、若しくは脱ブロック発光団データ、又は上記を組み合わせたデータを有する、フォトレジストモデル設定工程;
新たなシミュレーションデータマップに関連するように少なくとも1つの新たな評価領域を設定する工程であって、前記新たな評価領域は、新たな組の新たな輪郭線を含むように構成される、新たな評価領域設定工程;
前記新たな評価領域内の新たな組の輪郭線を用いることによって新たな3次元解析変数データ(AVDvol)Newを計算する(AVDvol)New計算工程;並びに、
前記新たな3次元解析変数データ(AVDvol)Newを用いることによって前記新たに計算された[(PPDV,σm)]New_Calcデータを計算する[(PPDV,σm)]New_Calcデータ計算工程;
を有する、請求項4に記載の方法。
【請求項6】
前記継続工程が:
前記欠陥解析データを用いることによって前記第1のパターニングされた基板上の新たな位置で新たな欠陥を有する恐れのある解析部を特定する工程であって、前記新たな欠陥を有する恐れのある解析部は、新たな欠陥の種類を含む3次元体積を画定する、解析部特定工程;
前記新たな欠陥を有する恐れのある解析部について新たな共有されたポリマー脱保護分散[(PPDV,σm)]New_Calcデータを計算する計算工程;
前記第1の(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の新たに計算された[(PPDV,σm)]New_Calcデータと比較する工程であって、前記新たな推定された[(PPDV,σm)]New_Estデータは、新たな解析変数データ(AVD)New、新たな平均値(<AVD>)Newデータ、新たなシミュレーションデータ、新たなフォトレジストモデルデータ、新たなICデータ、新たな設計規則データ、新たな処理シーケンスデータ、新たな基板データ、新たな評価領域データ、若しくは新たな欠陥種類データ、又は上記の組み合わせデータを有する、新たなデータ取得工程;
前記新たに計算された[(PPDV,σm)]New_Calcデータ及び前記新たな推定された[(PPDV,σm)]New_Estデータを用いることによって新たな差異の値を決定する新たな差異決定工程;
前記新たな差異の値が新たな精度限界以下であるときには、前記新たな推定された[(PPDV,σm)]New_Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の新たな欠陥を特定する新たな欠陥特定工程;並びに、
前記新たな差異の値が前記新たな精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項1に記載の方法。
【請求項7】
前記継続工程が:
前記第1のパターニングされた基板から新たな欠陥解析データを取得する工程であって、前記新たな欠陥解析データは、新たな明視野データ、新たな暗視野データ、新たなNLSデータ、新たな強度データ、新たな強度差データ、新たなコントラストデータ、若しくは新たなホットスポットデータ、又は上記を組み合わせたデータを有する、データ取得工程;
前記新たな欠陥解析データを用いることによって前記第1のパターニングされた基板上の新たな位置で新たな欠陥を有する恐れのある解析部を特定する工程であって、前記新たな欠陥を有する恐れのある解析部は、新たな欠陥の種類を含む3次元体積を画定する、解析部特定工程;
前記新たな欠陥を有する恐れのある解析部について新たな[(PPDV,σm)]New_Calcデータを計算する計算工程;
前記第1の(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の新たに計算された[(PPDV,σm)]New_Calcデータと比較する工程であって、前記新たな推定された[(PPDV,σm)]New_Estデータは、新たな解析変数データ(AVD)New、新たな平均値(<AVD>)Newデータ、新たなシミュレーションデータ、新たなフォトレジストモデルデータ、新たなICデータ、新たな設計規則データ、新たな処理シーケンスデータ、新たな基板データ、新たな評価領域データ、若しくは新たな欠陥種類データ、又は上記の組み合わせデータを有する、新たなデータ取得工程;
前記新たに計算された[(PPDV,σm)]New_Calcデータ及び前記新たな推定された[(PPDV,σm)]New_Estデータを用いることによって新たな差異の値を決定する新たな差異決定工程;
前記新たな差異の値が新たな精度限界以下であるときには、前記新たな推定された[(PPDV,σm)]New_Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の新たな欠陥を特定する新たな欠陥特定工程;並びに、
前記新たな差異の値が前記新たな精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項1に記載の方法。
【請求項8】
前記継続工程が:
前記第1のパターニングされた基板上での解析のための新たな欠陥の種類を設定する工程であって、前記新たな欠陥の種類は、新たなマイクロブリッジ欠陥、新たなLER欠陥、若しくは新たなLWR欠陥、又は上記の組み合わせ欠陥を有する、欠陥種類設定工程;
前記第1のパターニングされた基板から新たな欠陥解析データを取得する工程であって、前記新たな欠陥解析データは、新たな明視野データ、新たな暗視野データ、新たなNLSデータ、新たな強度データ、新たな強度差データ、新たなコントラストデータ、若しくは新たなホットスポットデータ、又は上記を組み合わせたデータを有する、データ取得工程;
前記新たな欠陥解析データを用いることによって前記第1のパターニングされた基板上の新たな位置で新たな欠陥を有する恐れのある解析部を特定する工程であって、前記新たな欠陥を有する恐れのある解析部は、新たな欠陥の種類を含む3次元体積を画定する、解析部特定工程;
前記新たな欠陥を有する恐れのある解析部について新たな[(PPDV,σm)]New_Calcデータを計算する計算工程;
前記第1の(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の新たに計算された[(PPDV,σm)]New_Calcデータと比較する工程であって、前記新たな推定された[(PPDV,σm)]New_Estデータは、新たな解析変数データ(AVD)New、新たな平均値(<AVD>)Newデータ、新たなシミュレーションデータ、新たなフォトレジストモデルデータ、新たなICデータ、新たな設計規則データ、新たな処理シーケンスデータ、新たな基板データ、新たな評価領域データ、若しくは新たな欠陥種類データ、又は上記の組み合わせデータを有する、新たなデータ取得工程;
前記新たに計算された[(PPDV,σm)]New_Calcデータ及び前記新たな推定された[(PPDV,σm)]New_Estデータを用いることによって新たな差異の値を決定する新たな差異決定工程;
前記新たな差異の値が新たな精度限界以下であるときには、前記新たな推定された[(PPDV,σm)]New_Estデータに係る少なくとも1つの欠陥種類を用いることによって、前記第1のパターニングされた基板上の第1の欠陥を有する恐れのある解析部内の新たな欠陥を特定する新たな欠陥特定工程;並びに、
前記新たな差異の値が前記新たな精度限界より大きいときには、前記第1のパターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項1に記載の方法。
【請求項9】
前記第1の計算された[(PPDV,σm)]Calcデータは、前記第1の欠陥を有する恐れのある解析部及び第1のパターニングされた基板に関連する少なくとも1つの確率値を有する、請求項1に記載の方法。
【請求項10】
前記のパターニングされた基板が、第1組の設計規則を用いることによって生成される、請求項1に記載の方法。
【請求項11】
共有された分散データを用いて1組の設計規則を検証する方法であって:
第1組の設計規則を用いて生成されたパターニングされた基板での解析のための第1の欠陥の種類を設定する工程であって、前記第1の欠陥の種類は、前記第1組の設計規則に関連し、かつマイクロブリッジ欠陥、ライン端部粗さ(LER)欠陥、又はライン幅粗さ(LWR)欠陥を有する、欠陥種類設定工程;
前記パターニングされた基板から欠陥解析データを取得する工程であって、前記欠陥解析データは、明視野データ、暗視野データ、NLSデータ、強度データ、強度差データ、コントラストデータ、若しくはホットスポットデータ、又は上記組み合わせのデータを有する、データ取得工程;
前記欠陥解析データを用いることによって前記パターニングされた基板上の第1位置で第1の欠陥を有する恐れのある解析部を特定する工程であって、前記第1の欠陥を有する恐れのある解析部は、前記第1の欠陥の種類を含む3次元体積を画定する、解析部特定工程;
前記第1の欠陥を有する恐れのある解析部について第1の共有されたポリマー脱保護分散[(PPDV,σm)]Calcデータを計算する工程であって、mはシミュレーションパラメータで、かつσmは前記シミュレーションパラメータmの標準偏差である、PPDV計算工程;
少なくとも1つの共有されたポリマー脱保護分散(PPDV,σm)データライブラリからリアルタイムで第1の推定された[(PPDV,σm)]Estデータを取得して前記の第1の計算された[(PPDV,σm)]Calcデータと比較する工程であって、前記[(PPDV,σm)]Estデータは、解析変数データ(AVD)、平均値(<AVD>)データ、シミュレーションデータ、フォトレジストモデルデータ、集積回路(IC)データ、設計規則データ、処理シーケンスデータ、基板データ、評価領域データ、若しくは欠陥種類データ、又は上記の組み合わせデータを有する、データ取得工程;
前記第1の推定された[(PPDV,σm)]Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと実質的に等しいときには、前記第1組の欠陥設計規則を用いることによって、前記第1組の設計規則を欠陥設計規則として特定する第1欠陥特定工程;並びに、
前記第1の推定された[(PPDV,σm)]Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと等しくないときには、前記パターニングされた基板の解析を継続する継続工程;
を有する方法。
【請求項12】
前記PPDV計算工程が:
前記パターニングされた基板上の第1の欠陥を有する恐れのある解析部について第1の修正されたフォトレジストモデルを設定する工程であって、
前記第1の修正されたフォトレジストモデルは第1シミュレーションパラメータ(m1)を有し、
前記第1シミュレーションパラメータ(m1)は、ブロックポリマー濃度データ、脱ブロックポリマー濃度データ、酸の拡散データ、塩基の拡散データ、NILSデータ、ブロック発光団データ、若しくは脱ブロック発光団データ、又は上記を組み合わせたデータを有する、
フォトレジストモデル設定工程;
前記パターニングされた基板上の第1の欠陥を有する恐れのある解析部についての前記第1の修正されたフォトレジストモデル及び第1シミュレーションパラメータ(m1)を用いることによって第1シミュレーションデータマップを生成する工程であって、前記第1シミュレーションデータマップは、各異なるシミュレーションデータ値に係る複数の輪郭線を有する、シミュレーションデータマップ生成工程;
前記第1シミュレーションデータマップに関連するように第1評価領域を設定する工程であって、前記第1評価領域は、第1組の前記輪郭線を含むように構成される、評価領域設定工程;
前記第1評価領域を用いることによって第1の3次元解析変数データ(AVDvol)1を計算するAVDvol計算工程;並びに、
前記第1の3次元解析変数データ(AVDvol)1を用いることによって前記第1の計算された[(PPDV,σm)]Calcデータを計算する[(PPDV,σm)]Calcデータ計算工程;
を有する、請求項11に記載の方法。
【請求項13】
前記継続工程が:
前記共有されたポリマー脱保護分散(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の第1の計算された[(PPDV,σm)]Calcデータと比較する工程であって、
前記新たな推定された[(PPDV,σm)]New_Estデータは新たな履歴データを有し、
前記新たな履歴データは、新たな組の欠陥設計規則、該新たな組の欠陥設計規則に係る新たな欠陥種類、及び該新たな欠陥種類に係る第1の欠陥集積回路(IC)パターンを有する、新たなデータ取得工程;
前記新たな推定された[(PPDV,σm)]New_Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと実質的に等しいときには、前記新たな組の欠陥設計規則を用いることによって、前記第1組の設計規則を欠陥設計規則として特定する第1欠陥特定工程;並びに、
前記第1の推定された[(PPDV,σm)]Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと等しくないときには、前記パターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項11に記載の方法。
【請求項14】
前記継続工程が:
前記第1の欠陥を有する恐れのある解析部について新たな共有されたポリマー脱保護分散[(PPDV,σm)]New_Calcデータを計算する計算工程
前記新たな推定された[(PPDV,σm)]New_Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと実質的に等しいときには、新たな組の欠陥設計規則を用いることによって、前記第1組の設計規則を欠陥設計規則として特定する第1欠陥特定工程;並びに、
前記第1の推定された[(PPDV,σm)]Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと等しくないときには、前記パターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項11に記載の方法。
【請求項15】
前記継続工程が:
前記第1の欠陥を有する恐れのある解析部について新たな[(PPDV,σm)]New_Calcデータを計算する計算工程;
前記共有されたポリマー脱保護分散(PPDV,σm)データライブラリからリアルタイムで新たな推定された[(PPDV,σm)]New_Estデータを取得して前記の第1の計算された[(PPDV,σm)]Calcデータと比較する工程であって、
前記新たな推定された[(PPDV,σm)]New_Estデータは新たな履歴データを有し、
前記新たな履歴データは、新たな組の欠陥設計規則、該新たな組の欠陥設計規則に係る新たな欠陥種類、及び該新たな欠陥種類に係る第1の欠陥集積回路(IC)パターンを有する、新たなデータ取得工程;
前記新たな推定された[(PPDV,σm)]New_Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと実質的に等しいときには、新たな組の欠陥設計規則を用いることによって、前記第1組の設計規則を欠陥設計規則として特定する第1欠陥特定工程;並びに、
前記第1の推定された[(PPDV,σm)]Estデータが前記の第1の計算された[(PPDV,σm)]Calcデータと等しくないときには、前記パターニングされた基板の解析を継続する継続工程;
をさらに有する、請求項11に記載の方法。
【請求項16】
共有された分散データを生成する方法であって:
a) I種類の異なる欠陥を有する恐れのある集積回路(IC)パターンからなる群からi番目の欠陥を有する恐れのあるICパターンを選択する工程であって、i=1,2,・・・Iで、かつIは1よりも大きな整数である、工程;
b) Ji種類の異なる組のプロセスパラメータからなる群からji番目の組のプロセスパラメータを選択する工程であって、i=1,2,・・・Iで、j=1,2,・・・Jiで、かつJiは1よりも大きな整数である、工程;
c) Kij種類の異なる組のプロセスパラメータからなる群からkij番目のデータ収集用基板(Sijk)を選択する工程であって、kij=1,2,・・・Kijで、i=1,2,・・・Iで、j=1,2,・・・Jiで、かつKijは1よりも大きな整数である、工程;
d) ji番目の組のプロセスパラメータを用いてkij番目のデータ収集用基板(Sijk)を処理する工程;
e) 前記kij番目のデータ収集用基板(Sijk)から少なくとも1つの光学像を取得する工程;
f) 前記kij番目のデータ収集用基板(Sijk)上のLijk個の解析部からなる群からlijk番目の解析部を選択する工程であって、lijk=1,2,・・・Lijkで、i=1,2,・・・Iで、j=1,2,・・・Jiで、kij=1,2,・・・Kijで、Lijkは1よりも大きな整数で、各被処理データ収集用基板(Sijk)はLijk個の解析部に分割され、前記少なくとも1つの光学像中の各強度スポットは、各被処理データ収集用基板(Sijk)上のLijk個の解析部からなる群のうちの少なくとも1つを設定するのに用いられる、工程;
g) 前記被処理データ収集用基板(Sijk)の各々の上の選択されたlijk番目の解析部の各々についてのMijkl種類のシミュレーションパラメータからなる群からmijkl番目のシミュレーションパラメータを選択する工程であって、mijkl=1,2,・・・Mijklで、i=1,2,・・・Iで、j=1,2,・・・Jiで、kij=1,2,・・・Kijで、lijk=1,2,・・・Lijkで、Mijklは1よりも大きな整数である、工程;
h) 前記の選択されたmijkl番目のシミュレーションパラメータと修正されたフォトレジストモデルを用いることによってmijkl番目のシミュレーションデータマップを生成する工程;
i) 前記mijkl番目のシミュレーションデータマップ内のnijklm番目の評価領域を選択する工程であって、i=1,2,・・・Iで、j=1,2,・・・Jiで、kij=1,2,・・・Kijで、lijk=1,2,・・・Lijkで、mijkl=1,2,・・・Mijklで、かつNijklmは1よりも大きな整数である、工程;
j) 少なくとも1つの解析変数データ([AVDn]ijklm)値を計算する工程であって、i=1,2,・・・Iで、j=1,2,・・・Jiで、kij=1,2,・・・Kijで、lijk=1,2,・・・Lijkで、mijkl=1,2,・・・Mijklで、かつnijklm=1,2,・・・Nijklmである、工程;
k) 前記のkij番目の被処理データ収集用基板(Sijk)についてデータ収集処理が完了したか否かを判断する工程;
l) 前記データ収集処理が完了したときに、前記のkij番目の被処理データ収集用基板(Sijk)について追加のデータを取得する工程;並びに、
m) 前記データ収集処理が完了したときに、前記のkij番目の被処理データ収集用基板(Sijk)について共有されたポリマー脱保護分散(PPDV,σm)データを計算する工程;
を有する方法。
【請求項17】
前記のkij番目の被処理データ収集用基板(Sijk)について追加のデータを取得する工程が:
前記のkij番目の被処理データ収集用基板(Sijk)について新たな評価領域が必要であるか否かを判断する工程;
(n+1)ijklm番目の評価領域を選択する工程;及び、
前記工程a)乃至m)を繰り返す工程;
をさらに有する、請求項16に記載の方法。
【請求項18】
前記のkij番目の被処理データ収集用基板(Sijk)について追加のデータを取得する工程が:
前記のkij番目の被処理データ収集用基板(Sijk)について新たなシミュレーションパラメータが必要であるか否かを判断する工程;
(m+1)ijkl番目の評価領域を選択する工程;及び、
前記工程g)乃至m)を繰り返す工程;
をさらに有する、請求項16に記載の方法。
【請求項19】
前記のkij番目の被処理データ収集用基板(Sijk)について追加のデータを取得する工程が:
前記のkij番目の被処理データ収集用基板(Sijk)について新たな解析部が必要であるか否かを判断する工程;
(l+1)ijk番目の評価領域を選択する工程;及び、
前記工程f)乃至m)を繰り返す工程;
をさらに有する、請求項16に記載の方法。
【請求項20】
前記修正されたフォトレジストモデルが、少なくとも1つのメタクリラートポリマーと少なくとも1つのトリフェニルスルホニウム(TPS)−ノナフレート光酸発生剤(PAG)を有する、請求項16に記載の方法。

【図1】
image rotate

【図2】
image rotate

【図3A】
image rotate

【図3B】
image rotate

【図4A】
image rotate

【図4B】
image rotate

【図4C】
image rotate

【図5A】
image rotate

【図5B】
image rotate

【図5C】
image rotate

【図6A】
image rotate

【図6B】
image rotate

【図7A】
image rotate

【図7B】
image rotate

【図7C】
image rotate

【図8A】
image rotate

【図8B】
image rotate

【図8C】
image rotate

【図8D】
image rotate

【図8E】
image rotate

【図9A】
image rotate

【図9B】
image rotate

【図10】
image rotate

【図11A】
image rotate

【図11B】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate

【図15】
image rotate


【公開番号】特開2011−211197(P2011−211197A)
【公開日】平成23年10月20日(2011.10.20)
【国際特許分類】
【外国語出願】
【出願番号】特願2011−68007(P2011−68007)
【出願日】平成23年3月25日(2011.3.25)
【出願人】(000219967)東京エレクトロン株式会社 (5,184)
【Fターム(参考)】