説明

半導体製造プロセスを制御するために第1の原理シミュレーションを用いたシステム及び方法。

【課題】半導体製造プロセスを制御するために第1の原理シミュレーションを用いたシステム及び方法を提供することである。
【解決手段】半導体処理ツールによって実行されるプロセスを制御する方法、システム及びコンピュータ可読媒体。前記方法は、前記半導体処理ツールによって実行されるプロセスに関連するデータを入力することと、前記半導体処理ツールに関連する第1の原理物理的モデルを入力することと、前記入力データ及び前記物理的モデルを用いて第1の原理シミュレーションを実行することとを含む。第1の原理シミュレーション結果は、経験的モデルを構築するために使用され、前記第1の原理シミュレーション結果および経験的モデルの少なくとも一方は、半導体処理ツールによって実行されるプロセスを制御するのに選ばれる。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、一般に、半導体デバイスを製造することに関し、より具体的には、半導体製造プロセスにおける、第1の原理シミュレーションの利用に関する。
【背景技術】
【0002】
半導体産業における材料加工は、集積回路(IC)の製造における手ごわい難題を呈する。一般に、ICの速度、特にメモリデバイスの速度の向上に対する要求は、基板表面上のデバイスをどんどん小さくすることを半導体メーカーに強いる。また、製造コストを低減するためには、IC構造を製造するのに必要な工程(例えば、エッチング工程、堆積工程等)の数を低減すること、すなわち、前記IC構造及び前記ICの製造方法の全体の複雑性を低減することが必要である。これらの要求は、加工寸法の低減及び基板サイズの増加(すなわち、200mmから300mm以上)の両方によってさらに強まり、これは、優れたデバイスの歩留まりを最大化するためのクリティカルディメンション(critical dimensions;CD)、プロセスレート及びプロセス均一性の厳密な制御に非常に重きをおく。
【0003】
半導体製造においては、ICの展開中には、真空処理、熱処理、プラズマ処理等を含む多数の工程が用いられる。各処理工程においては、前記プロセスの結果に影響を及ぼす多くの変数がある。各処理工程の結果をより厳密に制御するために、それぞれの処理ツールは、処理中にデータを測定し、プロセスコントローラの動作を通じてプロセス変動を補正する理にかなった原理をあてがうための(電気的、機械的及び光学)診断システムを多く備えている。診断システムの数は、厄介な問題であり、コストがかかる。また、完全なプロセス制御のための時空間で十分に分析されるデータは、いまだに利用できない。
【0004】
これらの産業上及び製造上の難題は、半導体製造産業におけるコンピュータを使ったモデリング及びシミュレーションのより多くの利用への関心につながっている。コンピュータを使ったモデリング及びシミュレーションは、半導体製造ツールの設計プロセス中のツールパフォーマンスの予測に用いられるようになってきている。モデリングの利用は、ツール開発サイクルに伴うコスト及び時間の低減を可能にする。応力、熱、磁気学等の多くの学問分野におけるモデリングは、設計上の問題に的確な答えを与えることを信頼できる成熟したレベルに達している。また、コンピュータの処理能力は、新たな解法アルゴリズムと共に急速に増大してきており、これらは共に、シミュレーション結果を得るのに必要な時間の低減をもたらした。実際に、本発明者らは、現在、ツールの設計段階において一般に行われる非常に多くのシミュレーションを、ウェハまたはウェハカセット処理時間に匹敵する時間、実行することができることを明確に理解している。これらのトレンドは、一般に、ツール設計にのみ用いられているシミュレーション能力を、前記ツールによって実行される様々なプロセスにおいて支援するために、前記ツール自体に対して直接実施することができるという提案につながった。例えば、2001年の半導体のためのインターナショナル・テクノロジー・ロードマップでは、次世代の半導体デバイスにおける、非常に小さな形状構成の製造を可能にする技術としてのオンツール(on−tool)統合シミュレーション能力の開発を妨げる問題を確認している。
【発明の開示】
【発明が解決しようとする課題】
【0005】
実際に、ツールプロセスを容易にする(facilitate)オンツールシミュレーションを実施する業界の失敗は、主に、妥当な時間内に前記シミュレーションを実行可能な計算手段の必要性によるものである。具体的には、一般に、半導体製造ツール専用のプロセッサ能力は、典型的には、診断及び制御機能に限定されており、そのため、単に、比較的単純なシミュレーションを実行できるに過ぎなかった。従って、半導体製造業界は、有意義なオンツールシミュレーション能力を実現するために、効果的な専用コンピュータを装備する必要性に気づいた。しかし、そのようなコンピュータの半導体処理ツールに対する専用化は、前記ツールが、単純なシミュレーションを用いる、あるいは、シミュレーションを全く用いないプロセスを実行する場合には、無駄な計算手段を生じる。高価な計算手段のこの非効率的な利用は、半導体処理ツールへのシミュレーション能力の実装に対する重大な障害になっている。
【課題を解決するための手段】
【0006】
本発明の1つの目的は、従来技術に関する上記確認されたおよび/またはその他の問題を低減または解決することである。
【0007】
本発明の別の目的は、ツールによって実行されるプロセスを容易にするために、第1の原理シミュレーション能力と半導体製造ツールとを統合することである。
【0008】
本発明のまた別の目的は、前記ツール専用の効果的な計算手段の必要性を伴うことなく、ツールシミュレーション能力を提供することである。
【0009】
本発明のさらに別の目的は、製造設備における各ツール専用の現在ある計算手段を用いて、幅広いオンツールシミュレーション能力を提供することである。
【0010】
これらおよび/または他の目的は、本発明の以下の態様によって実現することができる。
【0011】
本発明の一態様によれば、半導体処理ツールによって実行されるプロセスを制御する方法は、前記半導体処理ツールによって実行されるプロセスに関連するデータを入力することと、前記半導体処理ツールに関連する第1の原理物理的モデルを入力することと、入力データおよび第1の原理シミュレーション結果を提供する物理的モデルを使用して第1の原理シミュレーションを実行することとを含む。第1の原理シミュレーション結果は、経験的モデルを構築するために使用され、前記第1の原理シミュレーション結果および経験的モデルの少なくとも一方は、半導体処理ツールによって実行されるプロセスを制御するのに選ばれる。
【0012】
本発明の別の態様において、装置は、プロセスを実行するように構成された半導体処理ツールと、前記半導体処理ツールによって実行されるプロセスに関連するデータを入力するように構成された入力デバイスとを含む。第1の原理シミュレーションプロセッサは、前記半導体処理ツールに関連する第1の原理物理的モデルを入力し、前記入力データ及び物理的モデルを用いて、第1の原理シミュレーションを実行し、第1の原理シミュレーション結果を生成するように構成され、経験的モデルを構築するために第1の原理シミュレーション結果を使用するように構成される。第1の原理シミュレーション結果および経験的モデルの少なくとも一方は、半導体処理ツールによって実行されるプロセスを制御するのに選ばれる。
【0013】
本発明のまた別の態様において、半導体処理ツールによって実行されるプロセスを容易にするシステムは、前記半導体処理ツールによって実行されるプロセスに関連するデータを入力する手段と、前記半導体処理ツールに関連する第1の原理物理的モデルを入力する手段と、第1の原理シミュレーション結果を生成するように前記入力データ及び物理的モデルを用いて第1の原理シミュレーションを実行する手段とを含む。また、経験的モデルを構築するために第1の原理シミュレーション結果を使用する手段と、半導体処理ツールによって実行されるプロセスを制御するために、第1の原理シミュレーション結果および経験的モデルの少なくとも一方を選択する手段とは、包含される。
【0014】
本発明のさらに別の態様において、コンピュータ可読媒体(readable medium)は、プロセッサに対する実行のためのプログラム命令を含み、コンピュータシステムによって実行される場合に、プロセッサに半導体処理ツールによって実行されるプロセスに関連するデータを入力するステップと、前記半導体処理ツールに関連する第1の原理物理的モデルを入力するステップと、入力データおよび第1の原理シミュレーション結果を提供する物理的モデルを使用して第1の原理シミュレーションを実行するステップとを実行させる。第1の原理シミュレーション結果は、経験的モデルを構築するために使用され、前記第1の原理シミュレーション結果および経験的モデルの少なくとも一方は、半導体処理ツールによって実行されるプロセスを制御するのに選ばれる。
【0015】
本発明のより完全な正しい認識および本発明の多くの付随する効果は、添付図面と共に考究して、以下の詳細な説明を参照して本発明を良好に理解すれば、容易に得られるであろう。
【発明を実施するための最良の形態】
【0016】
次に、図について説明すると、同じ参照符号は、いくつかの図にわたって、同一または対応する部材を示し、図1は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にするシステムのブロック図である。図1を見て分かるように、前記システムは、半導体処理ツール102と、データ入力デバイス104と、第1の原理物理的モデル106と、第1の原理シミュレーションプロセッサ108とを含む。また、図1のシステムは、仮想線で示すように、ツールレベルライブラリ110を含んでもよい。
【0017】
半導体処理ツール102は、集積回路または半導体ウェハの製造に関連するプロセスを実行するツールである。例えば、半導体処理ツール102は、材料処理システム、エッチングシステム、フォトレジストスピンコーティングシステム、リソグラフィシステム、絶縁膜コーティングシステム(すなわち、SOG(spin−on−glass)またはSOD(spin−on−dielectric)システム)、成膜システム(すなわち、CVD(chemical vapor deposition)システムまたはPVD(physical vapor deposition)システム)、熱アニール用RTP(rapid thermal processing)システム、バッチ式拡散炉、あるいは、半導体製造プロセスを実行するその他のツールとして実施することができる。
【0018】
データ入力デバイス104は、半導体処理ツール102によって実行されるプロセスに関連するデータを集めて、前記集めたデータを第1の原理シミュレーションプロセッサ106に入力するデバイス(装置)である。半導体処理ツール102によって実行されるプロセスは、キャラクタライズプロセス(すなわち、プロセス設計または開発)、洗浄プロセス、製造プロセス、あるいは、前記半導体処理ツールによって実行されるその他のプロセスとすることができる。一実施形態において、データ入力デバイス104は、半導体処理ツール102自体、および/または前記ツールのチャンバ内に含まれる環境に関するデータを集める物理的センサとして実施することができる。このようなデータは、処理チャンバ内の様々な位置におけるガス速度及び圧力等の流体力学的データ、前記処理チャンバの電気的装置内の様々な位置における電圧、電流及びインピーダンス等の電気的データ、前記処理チャンバ内の様々な位置における活性種濃度及び反応化学作用等の化学的データ、前記処理チャンバ内の様々な位置におけるガス温度、表面温度及び表面熱流束等の熱的データ、(例えば、ラングミュア探針から得られる)プラズマ密度等の(プラズマが用いられている場合の)プラズマ処理データ、(例えば、イオンエネルギースペクトルアナライザから得られる)イオンエネルギー、および、前記処理チャンバ内の様々な位置における圧力、たわみ、歪み及び変形等の機械的データを含んでもよい。
【0019】
上記ツール及びツール環境データに加えて、データ入力デバイス104は、前記プロセス自体、または、ツール102がプロセスを実行している半導体ウェハに関して得られたプロセス結果に関連するデータを集めてもよい。一実施形態において、データ入力デバイス104は、半導体処理ツール102に結合された測定ツールとして実施される。前記測定ツールは、エッチング速度、成膜速度、エッチング選択性(第1の材料物質がエッチングされる速度と、第2の材料物質がエッチングされる速度との比)、エッチングクリティカルディメンション(例えば、形状構成の長さまたは幅)、エッチング形状構成異方性(例えば、エッチング形状構成サイドウォールプロファイル)、膜特性(例えば、膜ストレス、孔隙率等)、マスク(例えば、フォトレジスト)膜厚、マスク(例えば、フォトレジスト)パターンクリティカルディメンション、または、半導体処理ツール102によって実行されるプロセスのその他のパラメータ等のプロセスパフォーマンスパラメータを測定するように構成することができる。
【0020】
上記データ入力デバイスは、図1に示すように、処理ツール102及び第1の原理シミュレーションプロセッサ106に直接結合して、ツール102からデータを自動的に受取り、このデータを第1の原理シミュレーションプロセッサ106へ転送してもよい。別法として、データ入力デバイス104は、半導体処理ツール102によって実行されるプロセスに関連するデータをシミュレーションプロセッサ106へ間接的に供給するのに用いられるユーザ入力デバイスとして実施してもよい。例えば、データ入力デバイス104は、シミュレーションオペレータが、データを第1の原理シミュレーションプロセッサ106に入力するのに用いるキーボードであってもよい。さらに別法として、前記データ入力デバイスは、半導体処理ツール102によってこれまでに実行されたプロセスに関連するデータを蓄積するデータベースであってもよい。この実施形態において、前記データベースは、半導体処理ツール102に結合された物理的センサまたは測定ツールを用いて自動的に、および/または手動入力により、データを投入することができる。前記データベースは、第1の原理シミュレーションプロセッサ108が自動的にアクセスして、前記データを前記プロセッサに入力することができる。
【0021】
第1の原理物理的モデル106は、上記ツール及びツール環境、および前記第1の原理シミュレーションを実行して、上記半導体処理ツールによって実行されるプロセスを容易にするシミュレーション結果を生成するのに必要な基本方程式の物理的属性に関するモデルである。従って、第1の原理物理的モデル106は、解析される半導体処理ツール102の種類および前記ツールで実行されるプロセスにある程度依存する。例えば、物理的モデル106は、例えば、CVDチャンバと拡散炉の場合で異なる、ツール102の物理的配置の空間分解モデル(spatially resolved model)を含んでもよい。同様に、流れ場を計算するのに必要な第1の原理方程式は、温度場を計算するのに必要な方程式とは全く異なる。物理的モデル106は、流れ場、電磁場、温度場、化学作用、界面化学(すなわち、エッチング界面化学または成膜界面化学)を計算するための、ペンシルバニア州サウスポイント 275 テクノロジー ドライブ キャノンズバーグ市 PA 15317(Southpointe,275 Technology Drive Canonsburg,PA 15317)のアンシス社(ANSYS Inc.)のANSYS、ニューハンプシャー州レバノン キャベンジシュ コート センテラパーク10 NH 03766(10 Cavendish Ct.Centerra Park,Lebanon,NH 03766)のフルーエント社(Fluent Inc.)のFLUENT、あるいは、アラバマ州ハンツビル ウィン通り215 AL 35805(215 Wynn Dr.,Huntsville,AL 35805)のCFDリサーチ社(CFD Research Corp.)のCFD−ACE+等の市販のソフトウェアに実装されているようなモデルであってもよい。しかし、上記処理システム内のこれら及び他の詳細を分析する第1の原理から展開された専用モデルまたはカスタムモデルを用いることもできる。
【0022】
第1の原理シミュレーションプロセッサ108は、データ入力デバイス104からのデータ入力を第1の原理物理的モデル108に適用して、第1の原理シミュレーションを実行する処理デバイスである。具体的には、第1の原理シミュレーションプロセッサ108は、データ入力デバイス104によって供給されるデータを用いて、後に前記シミュレーションモジュールによって実行される、第1の原理物理的モデル106に対する初期条件(initial conditions)および/または境界条件(boundary conditions)を設定してもよい。本発明における第1の原理シミュレーションは、限定するものではないが、マクスウェル方程式から導かれる電磁場のシミュレーション、例えば、質量、運動量、及び連続性、すなわち、ナビエストークス方程式及び熱力学の第1法則から導出されるエネルギー輸送に対する連続シミュレーション、および、例えば、希薄気体のモンテカルロシミュレーション(1994年のクラレンドンプレスの気体流の分子ガス力学及び直接シミュレーション、バード,G.A.(Bird,G.A.1994.Molecular gas dynamics and the direct simulation of gas flows,Clarendon Press)参照)等のボルツマン方程式から導出される原子論的シミュレーションを含む。第1の原理シミュレーションプロセッサ108は、半導体処理ツール102と物理的に一体化したプロセッサまたはワークステーションとして、あるいは、図14のコンピュータシステム1401等の汎用コンピュータシステムとして実施することができる。第1の原理シミュレーションプロセッサ108の出力は、半導体処理ツール102によって実行されるプロセスを容易にするのに用いられるシミュレーション結果である。例えば、前記シミュレーション結果は、プロセス開発、プロセス制御及び故障検出を容易にするのに、および、以下にさらに説明するように、ツールプロセスを容易にする仮想センサ出力を生成するのに用いることができる。
【0023】
図1に仮想線で示すように、前記システムは、シミュレーション結果の蓄積のためのツールレベルライブラリ108を含んでもよい。前記ライブラリは、本質的に、将来のシミュレーション結果を生成するのに用いることができる過去のシミュレーションの結果の寄せ集めである。ツールレベルライブラリ110は、独立した記憶装置に、または、第1の原理シミュレーションプロセッサ106と一体化されたハードディスク等のコンピュータ記憶装置に格納することができる。
【0024】
図1のシステムは例示のためのものであり、当業者には、本発明を実施するのに用いられる特定のハードウェアとソフトウェアの多くのバリエーションが容易に理解できるであろうことを理解すべきである。例えば、第1の原理物理的モデル106、第1の原理シミュレーションプロセッサ108及びツールレベルライブラリ110の機能性を単一のデバイスに兼務させてもよい。同様に、データ入力デバイス104の機能性を、半導体処理ツール102および/または第1の原理シミュレーションプロセッサ108の機能性と組合わせてもよい。これらの変形例及び他の変形例を実施するために、単一のコンピュータ(例えば、図14のコンピュータシステム1401)は、図1に示す2つ以上のデバイスの特殊用途機能を実行するようにプログラムしてもよい。一方、2つ以上のプログラムされたコンピュータを、図1に示すデバイスのうちの1つに置き換えてもよい。冗長性及び複製等の分散型処理の原理及び利点は、要望どおりに、例えば、上記システムのローバスト性及びパフォーマンスを高めるように実施することもできる。
【0025】
図2は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にする処理を示すフローチャートである。図2に示す処理は、例えば、図1の第1の原理シミュレーションプロセッサ104上で実行することができる。図2を見て分かるように、前記処理は、ステップ201において、半導体処理ツール102によって実行されるプロセスに関連するデータを入力することによって始まる。上述したように、前記入力データは、前記ツール/ツール環境の物理的属性に関連するデータ、および/または半導体ウェハまたはそのようなプロセスの結果に対して、前記ツールによって実行されるプロセスに関連するデータとすることができる。これも上述したように、前記入力データは、第1の原理シミュレーションプロセッサ104に結合された物理的センサまたは測定ツールから直接入力することができ、あるいは、手動入力デバイスまたはデータベースから間接的に入力することができる。前記データが、手動入力デバイスまたはデータベースによって間接的に入力される場合、前記データは、既に実行されたプロセスからのセンサデータ等の既に実行されたプロセスから記録されたデータであってもよい。別法として、前記データは、シミュレーションオペレータにより、特定のシミュレーションのための「最もよく知られた入力パラメータ」として設定してもよく、前記パラメータは、プロセスの間に集められたデータに関連していても関連していなくてもよい。前記処理ツールによって入力された入力データの種類は、一般に、所望のシミュレーション結果に依存する。
【0026】
上記入力データを入力することに加えて、第1の原理シミュレーションプロセッサ104は、ステップ203に示すように、第1の原理物理的モデル106も入力する。ステップ203は、前記モデルによってモデル化される前記ツールの物理的属性を入力すること、および、半導体処理ツール102によって実行されるプロセスの所望の属性の第1の原理シミュレーションを実行するのに必要なソフトウェアに体系化された第1の原理方程式を含む。第1の原理物理的モデル106は、外部メモリから、あるいは、前記プロセッサに一体化された内部メモリデバイスから前記プロセッサに入力してもよい。また、ステップ203は、図2において、ステップ201の後に続くように示されているが、第1の原理シミュレーションプロセッサ104は、これらのステップを同時に、または、図2に示した順序の逆で実行してもよいことを理解すべきである。
【0027】
ステップ205において、第1の原理シミュレーションプロセッサ108は、ステップ201の入力データ及びステップ203の第1の原理物理的モデルを用いて、第1の原理シミュレーションを実行して、シミュレーション結果を生成する。ステップ205は、上記半導体処理ツールによって実行されるプロセスと同時に実行してもよく、または、同時でなく実行してもよい。例えば、短い解決時間で実行することができるシミュレーションは、ツールプロセスと同時に実行してもよく、その結果を前記プロセスを制御するのに用いることができる。より計算が集中するシミュレーションは、前記ツールプロセスと同時に実行しなくてもよく、前記シミュレーションは、後の検索のために、ライブラリに蓄積することができる。一実施形態において、ステップ205は、ステップ201の入力データを用いて、ステップ205で生成される物理的モデルのための初期条件および/または境界条件を設定することを含む。
【0028】
上記シミュレーションが、一旦、実行されると、前記シミュレーション結果は、半導体処理ツール102によって実行されるプロセスを容易にするのに用いられる。「前記半導体処理ツールによって実行されるプロセスを容易にする」という表現は、本願明細書で用いる場合、前記シミュレーション結果を用いて、例えば、前記プロセスにおける故障を検出すること、前記プロセスを制御すること、製造の連続稼働のために前記プロセスを特徴付け(characterize)すること、前記プロセスに関連する仮想センサの読み込み(reading)を表示すること、あるいは、半導体処理ツール102によって実行されるプロセスを容易にすることに関連する、前記シミュレーション結果のその他の何らかの利用を含む。
【0029】
図3は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にするのに用いることができるネットワークアーキテクチャのブロック図である。この図を見て分かるように、前記ネットワークアーキテクチャは、インターネット314を介してリモートリソース(遠隔資源)に接続されたデバイス製造メーカーを含む。前記デバイス製造メーカーは、それぞれのシミュレーションモジュール302に接続された複数の半導体処理ツール102を含む。図1に関して説明したように、各半導体処理ツール102は、集積回路等の半導体デバイスを製造することに関連するプロセスを実行するツールである。各シミュレーションモジュール302は、コンピュータ、ワークステーション、あるいは、第1の原理シミュレーション方法を用いて、半導体処理ツール102によって実行されるプロセスを容易にすることが可能な他の処理装置である。すなわち、各シミュレーションモジュール302は、図1に関して説明した、第1の原理物理的モデル106及び第1の原理シミュレーションプロセッサ108と、第1の原理シミュレーションを実行するのに役に立つ可能性のある他の何らかのハードウェアおよび/またはソフトウェアを含む。また、シミュレーションモジュール302は、何らかの公知のネットワークコミュニケーション(通信)プロトコルを用いて、工場(ファブ)レベルのAPC(advanced process control)コントローラと通信するように構成されている。各シミュレーションモジュール302は、図14のコンピュータシステム1401等の汎用コンピュータとして実施することができる。
【0030】
図3には示されていないが、各シミュレーションモジュール302は、ツール102によって実行されるプロセスに関連するデータを入力するデータ入力デバイスと関連付けられている。図3の実施形態において、シミュレーションモジュール302は、それぞれのツール102に直接結合されており、そのため、前記データ入力デバイスは、それぞれのツール102に設けられた物理的センサおよび/または測定ツールとして実施されている。しかし、上述したように、前記データ入力デバイスは、前記シミュレーションモジュールのオペレータによって使用される手動入力デバイス、または、データベースとして実施してもよい。また、各シミュレーションモジュール302は、ライブラリ306等のツールレベルライブラリに情報を蓄積し、かつ前記ライブラリから情報を検索するように構成してもよい。また、上述したように、前記ツールレベルライブラリは、本質的に、将来のシミュレーションにとって有用である可能性のある過去のシミュレーション結果の寄せ集めである。
【0031】
本発明の一実施形態において、各シミュレーションモジュール302は、ネットワーク接続を介して、メインの工場レベルAPCコントローラ304に接続されている。図3を見て分かるように、工場レベルAPCコントローラ304は、スタンドアロン(独立型)シミュレーションモジュール308及び工場レベルライブラリ310に、および、インターネット314及びコミュニケーションサーバ316を介してスタンドアロンシミュレーションモジュール312に接続することもできる。
【0032】
スタンドアロンシミュレーションモジュール308及び312は、以下にさらに説明するように、より計算が集中する第1の原理シミュレーションを実行する際に、シミュレーションモジュール302を補助するのに用いることができる計算資源である。工場レベルライブラリ310は、前記ネットワークシステムの前記シミュレーションモジュールのいずれかから得られたシミュレーション結果を蓄積するデータベースである。工場レベルAPCコントローラ304は、何らかの適当なワークステーション、サーバ、あるいは、シミュレーションモジュール302、308及び312と通信し、かつ工場レベルライブラリ310に情報を蓄積し、かつ前記ライブラリから情報を検索する他の装置である。また、工場レベルAPCコントローラ304は、シミュレーションモジュール302のシミュレーション結果に基づいて、ツール102によって実行されるプロセスを容易にする。例えば、前記APCコントローラは、シミュレーションモジュールからシミュレーション結果を受取り、前記シミュレーション結果を用いて、いずれかのツール102のプロセス調整および/または補正のための制御手順を実施するように構成してもよい。工場レベルAPCコントローラ304は、何らかの適当なプロトコルを用いて、シミュレーションモジュール302、308及び312、および工場レベルライブラリ310と通信し、例えば、図14のコンピュータシステム1401を用いて実施することができる。
【0033】
本発明の発明者等は、図3のネットワーク構成が、妥当な解決速度で、多様な第1の原理シミュレーション結果を可能にする、計算資源と記憶資源との共用を実現でき、それによって、上記ツールによって実行されるプロセスを容易にすることができる意義のあるオンツールシミュレーション能力を実現できることを発見した。具体的には、単純なシミュレーションは、ツールの専用シミュレーションモジュールによって実行することができるが、より多くの計算資源を必要とする複雑なシミュレーションは、オンツールでもスタンドアロンでもよい、前記ネットワーク内の多数のシミュレーションモジュールに対して、コード並列化技術を用いて実行することができる。予防保守中の装置のオンツールシミュレーションモジュールでさえ、前記シミュレーションモジュールへの電力があれば、共用計算資源として用いることができる。同様に、後の探索に用いられるシミュレーション結果は、工場ネットワーク内のどこかのライブラリ(例えば、記憶装置)に蓄積することができ、また、診断または制御データの探索が実行された場合には、全てのツールによってアクセスすることができる。
【0034】
また、本発明の発明者等は、図3のネットワークアーキテクチャが、1つの条件セットに対して1つの処理ツール102においてなされたモデル結果を、同じかまたは同様の条件で後に作動する他の同様のまたは同一のツールに配分する能力を実現でき、そのため、冗長な(redundant)シミュレーションがなくされることも発見した。オンツール及びスタンドアロンのモジュールにおいて、ただ1つの処理条件にたいしてのみシミュレーションを実行すること、および、既に分かっているシミュレーション済みの解を有する同様のツールからの結果を再利用することは、広範囲の処理条件に関する診断及び制御に用いることができる結果を含む探索ライブラリの迅速な展開を可能にする。さらに、第1の原理シミュレーションのための初期条件としての既知の解の再利用は、計算上の必要条件を低減し、オンライン制御と一致する時間フレームでのシミュレーション済みの解の生成を容易にする。同様に、図3のネットワークアーキテクチャも、物理的モデルに対して行われた変更及び改良、および、1つのシミュレーションモジュールからのモデル入力パラメータを、前記ネットワーク内の他のモジュールへ伝達する能力を実現できる。例えば、プロセスの実行中、及びモデルの並行実行中に、いくつかの入力パラメータを変更する必要があると判断された場合、それらの変更を、前記ネットワークを介して他の全てのシミュレーションモジュール及びツールへ伝達することができる。
【0035】
また、図3のネットワークアーキテクチャは、シミュレーションタスクを実行する際、及び前記結果を前記デバイスの製造工場へ戻し伝える際に支援することができるシミュレーションモジュールを含むリモート計算資源への任意の接続も可能にする。遠隔資源への接続は、バーチャルプライベートネットワーク(Virtual Private Network)等の安全な接続によって実行することができる。そのような安全な接続は、処理ツール上での第1の原理シミュレーションをサポートする計算資源を提供するサードパーティに対しても確立することができる。同様に、前記リモートコミュニケーションサーバは、多くの顧客が利用することができる、最新のソフトウェア、モデル、入力パラメータ及びシミュレーション結果のための「クリアリングハウス」として機能することができ、それにより、正確な結果ライブラリが生成される速度がさらに高められる。それらの最新のモデルは、顧客側から前記遠隔資源へアップロードして分析することができ、また、大部分の顧客に対して改良を施すと決定された場合には、前記コミュニケーションサーバ及び他の顧客へのインターネット接続を介して、前記改良が入手可能となる。
【0036】
このように、本発明の発明者等は、前記ツール専用の高価なコンピュータを要することなく、前記ツールによって実行されるプロセスを容易にする有意義なオンツールシミュレーション能力を発見した。この発見に基づいて、本発明の発明者等は、仮想センサの読み込みを表示し、前記ツールによって実行されるプロセスを開発する際の利用のための特徴付けデータを生成し、また、プロセス故障検出及びプロセス制御能力を実現できる新規なオンツールシミュレーションシステムをさらに開発した。上記半導体処理ツールによって実行されるプロセスを容易にする前記発明のオンツールシミュレーションのこれらの利用は、単一のツール及びシミュレーションモジュール上で、または、図3に描かれているような計算資源及び記憶資源からなる相互接続されたネットワーク上で実施することができる。
【0037】
具体的には、オンツールシミュレーション結果は、物理的センサからの測定データセットを増強させるのに用いることができる。現世代の半導体処理ツールの1つの欠点は、特に、生産ツール上で現在実行中のプロセスを特徴付けするのに用いられる比較的少数のセンサである。必要なセンサの数が多い場合、より多くのセンサをツールに設けると、非常に費用がかかり、また、多くの場合、前記ツールには、追加するセンサの変更や取付けのためのスペースは残っていない。さらに、生産ツールにおいても、センサが設けられていない箇所での「測定」が要求されるという状況がある。本発明のオンツール第1の原理シミュレーション能力は、初期条件および/または境界条件として他の実際の測定値を用いて前記測定値を予測するような強力なモデルが存在するとすれば、いかなる追加的なハードウェアも要することなく、必要な「測定」を実行できる。本明細書において、「仮想センサ」という用語は、前記測定値が、オンツールシミュレーションによる予測によって実際に生成される「センサ」を指すのに用いられる。
【0038】
図4は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にすることが可能な仮想センサ読み込みを表示する処理を示すフローチャートである。図4に示す処理は、例えば、図1の第1の原理シミュレーションプロセッサ108上で、あるいは、図3のネットワークアーキテクチャを用いて、実行することができる。図4を見て分かるように、前記処理は、ステップ401において、半導体処理ツール102によって実行されるプロセスに関連する仮想センサ読み込みを得るためのデータを入力することによって始まる。ステップ401におけるデータ入力は、前記入力データが、仮想センサのシミュレーション結果を生成する第1の原理シミュレーションを可能にするのであれば、図2のステップ201に関して説明した種類のデータのいずれかであってもよい。それに伴って、前記入力データは、前記ツール/ツール環境、前記ツールによって実行される半導体ウェハ上でのプロセス、または、そのようなプロセスの結果の物理的属性に関連するデータとすることができる。また、ステップ401の入力データは、物理的センサ、または、第1の原理シミュレーションプロセッサ108に結合された測定ツールから直接入力することができ、あるいは、手動入力デバイスまたはデータベースから間接的に入力することができる。
【0039】
測定データを、仮想センサの読み込みを得るための入力データとして用いる1つの実施例において、エッチングマスク及び下にある膜の厚さに関係する測定データは、第1の原理のエッチングプロセスモデル、および後に実行されるエッチングプロセスに対する入力として役に立つ。前記エッチングプロセスを実行する前に、所定の基板ロットに対する所定の基板上の1つ以上の位置(例えば、中心および縁部)における、パターンのクリティカルディメンション及びマスクの膜厚を含む前記マスクパターンの測定値を、前記エッチングプロセスモデルに対する入力として生成することができる。また、下にある膜の厚さ(すなわち、エッチングされる膜の膜厚)の測定値も、前記エッチングプロセスモデルに対する入力として役に立つ。特定のプロセスレシピに対する第1の原理のエッチングプロセスモデルの実行、および上記認識した測定入力データに続いて、例えば、前記中心及び縁部において、前記エッチングプロセスを完了する時間を、出力として計算することができ、また、この出力を、オーバエッチング期間、および例えば、中心縁部間の形状構成のクリティカルディメンションを保つのに必要な何らかのプロセス調整を判断するのに用いることができる。その後、それらの結果は、現在のまたは次の基板ロットのためのプロセスレシピを調整するのに用いることができる。
【0040】
データが、手動入力デバイスまたはデータベースによって間接的に入力される場合には、前記データは、既に実行されたプロセスからのセンサデータ等の既に実行されたプロセスから記録されたデータとすることができる。別法として、前記データは、特定のシミュレーションのための「最もよく知られた入力パラメータ」として前記シミュレーションのオペレータによって設定してもよく、前記データは、プロセス中に集められたデータに関連しても関連していなくてもよい。上記処理ツールによって入力された入力データの種類は、一般に、取得すべき所望の仮想センサ測定値に依存する。
【0041】
上記入力データを入力することに加えて、第1の原理シミュレーションプロセッサ108は、ステップ403により示すように、物理的センサをエミュレートする第1の原理物理的モデルも入力する。ステップ403は、前記モデルによりモデル化された上記ツールの物理的属性、および、第1の原理シミュレーションを実行して、半導体処理ツール102によって実行されるプロセスに関連する物理的センサの読み込みと置換することができる仮想センサの読み込みを得るのに必要な第1の原理基本方程式を入力することを含む。ステップ403の第1の原理物理的モデルは、外部記憶装置、または、前記プロセッサに一体化された内部記憶素子から前記プロセッサに入力することができる。また、ステップ403は、ステップ401の後に続くように図4に示されているが、第1の原理シミュレーションプロセッサ104は、これらのステップを同時に、または、図4に示す順序の逆で実行してもよいことを理解すべきである。
【0042】
ステップ405において、図1のプロセッサ108等の第1の原理シミュレーションプロセッサは、ステップ401の入力データ及びステップ403の第1の原理物理的モデルを用いて第1の原理シミュレーションを実行し、仮想センサ測定値を生成する。ステップ405は、上記半導体処理ツールによって実行されるプロセスとは異なる時間に、または、前記プロセスと同時に実行することができる。前記ウェハプロセスと同時でなく実行されるシミュレーションは、同じまたは同様のプロセス条件を用いて実行されたこれまでのプロセスで蓄積された初期条件及び境界条件を用いてもよい。図2に関して述べたように、これは、シミュレーションが前記ウェハプロセスよりも遅く実行される場合に適しており、例えば、上記シミュレーションモジュールに所要の測定値を解かせるために、ウェハカセットの間や予防保守のためのツールの運転停止中にも、時間を使うことができる。これらの「測定値」は、前記ウェハプロセスと同時に解かれるかのように、および、前記プロセスが、前記シミュレーションが実行されたときと同じプロセス条件の下で実行されるかのように、前記ウェハプロセス中に表示することができる。
【0043】
上記第1の原理シミュレーションが、上記半導体ツールによって実行されるプロセスと同時に実行される場合、ステップ401におけるデータ入力は、前記ツールによって実行されるプロセス中の所定のパラメータを検出する前記半導体処理ツールに設けられた物理的センサからのデータとすることができる。この実施形態においては、定常状態シミュレーションが、前記物理的センサの測定値を用いて、前記第1の原理シミュレーションモデルの境界条件を繰り返し更新することにより、前記プロセスと同時に繰り返し実行される。生成された仮想測定データは、ツールオペレータによるモニタリングに有用であり、また、物理的センサによって生成された測定値と異なることは決してない。しかし、前記シミュレーションは、好ましくは、迅速に実行されることが可能であるため、仮想測定値は、妥当なレート(例えば、「サンプリングレート」)で更新することができる。また、前記第1の原理シミュレーションは、物理的センサの入力データの利用を要することなく、同時に実行することもできる。この実施形態においては、前記シミュレーションのための初期条件及び境界条件は、ツールプロセスの前の前記ツールの初期設定、および、前記実行の前の物理的センサの読み込みに基づいて設定され、その後、フルタイム依存のシミュレーションが、前記ツールプロセス中に、前記ツールプロセスとは無関係に実行される。得られた仮想測定値は、他の実際に測定したツールパラメータのように、前記オペレータに対して表示することができ、かつ前記オペレータによって分析することができる。前記シミュレーションが前記ウェハプロセスよりも早く実行される場合、シミュレーション結果は、前記ウェハプロセス中に生成された対応する実際の測定値よりも前に知らされる。定刻より早く前記測定値を知ることは、以下にさらに説明するように、これらの測定値に基づく様々なフィードフォワード制御機能の実施を可能にする。
【0044】
図4の処理のまた別の実施形態においては、前記第1の原理シミュレーションは、仮想センサ測定値を対応する物理的センサの測定値と比較することにより、自己補正モードで実行してもよい。例えば、あるプロセスレシピ/ツール条件を伴う最初の実行中に、前記ツールのオペレータは、上記モデルに対して、「そのときに最もよく知られた入力パラメータ」を用いるであろう。各シミュレーション実行中及び各シミュレーション実行後、上記シミュレーションモジュールは、予測した「測定値」を、物理的センサからの実際の測定値が生成される位置における実際の測定値と比較することができる。著しい差が検出された場合には、予測した測定データと実際に測定したデータとのより良好な一致が実現されるまで、入力データおよび/または第1の原理物理的モデル自体を変更するのに、最適化及び統計的手法を用いることができる。状況により、これらの追加的な改良シミュレーションの実行は、次のウェハ/ウェハカセットと同時に、または、上記ツールがオフラインのときに、行うことができる。改良された入力パラメータが、一旦、分かると、それらのパラメータを、後の利用のためにライブラリに蓄積することができ、後の同じプロセス条件に対する入力パラメータ及びモデル改良の必要性が排除される。さらに、前記モデル及び入力データの改良は、図3のネットワークのセットアップを介して、他のツールへ配信することができ、それら他のツールにおける自己補正の実行が排除される。
【0045】
一旦、上記シミュレーションが実行されて、仮想センサ測定値が生成されると、前記仮想センサ測定値は、半導体処理ツール102によって実行されるプロセスを容易にするのに用いられる。例えば、前記仮想センサ測定値は、実際のセンサ測定値との比較、プロセス中のレシピ変更、故障検出およびオペレータ警告、プロセス条件のデータベースの生成、モデル及び入力データの改良等の様々な目的のためのツール制御装置への入力として用いることができる。これらは、物理的センサによって生成される測定値に基づいて、ツール制御装置により実行される典型的な動作である。仮想センサの測定値の利用は、以下に説明するように、プロセスを特徴付けまたは制御するのに用いることができる。また、前記仮想センサの測定値は、後の利用のために、コンピュータ記憶媒体上のライブラリに蓄積することができ、(例えば、変更中に)前記モデルまたは入力条件の変更がない限り、同じ入力条件を用いてシミュレーションの実行を繰り返す必要性がなくなる。
【0046】
仮想センサ読み込みを生成することに加えて、本発明のオンツール第1の原理シミュレーション能力は、半導体プロセスの開発を容易にする。より具体的には、現在の実験計画法プロセス開発アプローチを用いた、ツール上のプロセスの特徴付けは、作動パラメータの各バリエーションに対して異なるプロセス実行を必要とし、これは、時間のかかるかつ費用のかかる特徴付けプロセスをもたらす。本発明のオンツール第1の原理シミュレーション能力は、前記第1の原理シミュレーションによって良好にモデル化されている前記プロセス変数のバリエーションを含む実際のプロセス実行を要することなく、前記ツール自体でのパラメータバリエーション及びwhat−if分析を可能にする。このことは、ツール上でプロセスを特徴付けするのに必要な実験の数の大幅な低減を可能にする。
【0047】
図5は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを特徴付けする処理を示すフローチャートである。図5に示す処理は、例えば、図1の第1の原理シミュレーションプロセッサ108上で、または、図3のアーキテクチャを用いて、実行することができる。図5を見て分かるように、前記処理は、ステップ501において、半導体処理ツール102によって実行されるプロセスに関連する特徴付け情報を得るためのデータを入力することによって始まる。ステップ501におけるデータ入力は、前記データ入力が、前記半導体処理ツールによって実行されるプロセスを特徴付けするのに用いられるシミュレーション結果を生成する第1の原理シミュレーションを可能にするかぎりにおいて、図2のステップ201に関して説明したデータ種類のいずれかとすることができる。従って、前記入力データは、ツール/ツール環境、半導体ウェハ上で前記ツールによって実行されるプロセス、または、そのようなプロセスの結果の物理的属性に関連するデータとすることができる。また、ステップ501の入力データは、第1の原理シミュレーションプロセッサ104に結合された物理的センサまたは測定ツールから直接入力してもよく、あるいは、手動入力デバイスまたはデータベースから間接的に入力してもよい。また、前記データは、図4に関して説明したように仮想センサ読み込みを生成するシミュレーションモジュールから入力してもよい。前記データが、手動入力デバイスまたはデータベースによって間接的に入力された場合、前記データは、これまでに実行したプロセスからのセンサデータ等のこれまでに実行したプロセスから記録されたデータとすることができる。別法として、前記データは、特定のシミュレーションのための「最もよく知られた入力パラメータ」として、前記シミュレーションのオペレータによって設定することができ、前記パラメータは、プロセスの間に集められたデータに関連していても関連していなくてもよい。前記処理ツールによって入力された入力データの種類は、一般に、取得すべき所望の特徴付けデータに依存する。
【0048】
上記入力データを入力することに加えて、第1の原理シミュレーションプロセッサ108は、ステップ503に示すように、前記プロセスを特徴付けする第1の原理物理的モデルも入力する。ステップ503は、前記モデルによってモデル化された前記ツールの物理的属性、および、一般に、第1の原理シミュレーションを実行して、半導体処理ツール102によって実行されるプロセスのための特徴付けデータを得るのに必要なソフトウェアに体系化されている第1の原理基本方程式を入力することを含む。ステップ503の第1の原理物理的モデルは、外部記憶装置、または、前記プロセッサに一体化された内部記憶装置から前記プロセッサに入力することができる。また、ステップ503は、ステップ501の後に続くように図5に示されているが、第1の原理シミュレーションプロセッサ108は、これらのステップを同時に、または、図5に示す順番の逆で実行してもよいことを理解すべきである。
【0049】
ステップ505において、図1のプロセッサ108等の第1の原理シミュレーションプロセッサは、ステップ501の入力データ及びステップ503の第1の原理物理的モデルを用いて、第1の原理シミュレーションを実行し、前記プロセスを特徴付けするのに用いられるシミュレーション結果を生成する。ステップ505は、上記半導体処理ツールによって実行されるプロセスとは異なる時間に、または、前記プロセスと同時に実行することができる。前記ツールプロセスと同時ではなく実行されるシミュレーションは、同じまたは同様のプロセス条件を伴うこれまでのプロセス実行から蓄積された初期条件及び境界条件を用いてもよい。図2に関して上述したように、これは、前記シミュレーションが前記ウェハプロセスよりも遅く実行される場合に適しており、また、例えば、上記シミュレーションモジュールに所要のシミュレーション結果を解かせるために、ウェハカセット間や予防保守のためのツールの運転停止中にも時間を使うことができる。
【0050】
上記第1の原理シミュレーションが、上記半導体処理ツールによって実行されるプロセスと同時に実行される場合、前記第1の原理シミュレーションは、前記シミュレーションによって実行された実験的プロセスにより検証された同じまたは異なるパラメータの特徴付けデータを生成してもよい。例えば、前記第1の原理シミュレーションは、前記半導体処理ツールによって実行される実験計画法プロセスによって検証されるパラメータのバリエーションを生成するために実行してもよい。別法として、前記第1の原理シミュレーションは、前記半導体処理ツールで実行された実験で検証されたパラメータとは異なるパラメータのための特徴付けデータを生成してもよい。
【0051】
ステップ505において、一旦、上記シミュレーションが実行されると、前記シミュレーション結果は、図507に示すように、上記半導体処理ツールによって実行されるプロセスを特徴付けするためのデータセットの一部として用いられる。上述したように、このシミュレーション結果の特徴付けデータとしての利用は、プロセスの特徴付けに対する実験計画法アプローチに必要な時間のかかりかつ費用のかかる実験の必要性を著しく低減またはなくすことができる。前記特徴付けデータセットは、前記ツールによって実行される後のプロセスでの利用のためにライブラリに蓄積することができる。
【0052】
また、本発明のオンツール第1の原理シミュレーション能力は、故障検出及びプロセス制御にも用いることができる。上記半導体処理ツールによって実行されるプロセスの故障検出及びプロセス制御のための現在ある方法は、ほとんど、本質的に統計的である。これらの方法は、多数のプロセスを実行すると共に、前記ツールの全てのパラメータを変化させるという負担を伴う実験計画法を必要とする。それらのプロセス実行の結果は、前記半導体処理ツールのプロセスを検知または制御するために、探索、補間、外挿、感度分析等に用いられるデータベースに記録される。
【0053】
しかし、広範囲に変化する動作条件の下で、上記ツールを確実に検知及び制御することができるこれらの統計的手法の場合、上記データベースは、全ての動作条件をカバーするのに十分なものでなければならず、このことは、前記データベースを作るのに重荷となる。本発明のオンツール第1の原理シミュレーション能力は、プロセス条件に対するツール応答が、作業モデル及び入力データが正確であれば、物理的第1の原理から直接的かつ正確に予測されるため、そのようなデータベースの形成を必要としない。しかし、統計的手法は、それでも、作業モデルを変更するのに用いることができ、また、異なる作動条件の下でのより多くの実行時情報としての入力データが利用可能になるが、そのような情報を有することは、プロセスの検知及び制御能力の場合、本発明には必要ない。実際に、前記プロセスモデルは、前記プロセスが、それに基づいて、前記プロセスモデルを用いて「解(solutions)」に対する既知の経験的(emprical)解を拡大することにより、経験的に制御することができる基準を生成することができ、この場合、経験的結果は、物理的に形成されていない。すなわち、本発明は、一実施形態において、第1の原理シミュレーションモジュール解を有する既知の(すなわち、物理的に観察された)解を拡充することにより、前記処理ツールを経験的に特徴付けし、前記シミュレーションモジュール解は、前記既知の解と一致する。結局、よりよい統計的開発として、前記シミュレーションモジュール解は、経験的解のデータベースに代替されることが可能である。
【0054】
本発明の一実施形態において、上記オンツール第1の原理シミュレーションは、プロセス条件に対するツール応答が、第1の原理から直接的に予測されるため、データベースに対する形成またはアクセスは必要ない。それでも、統計的手法は、作業モデルを変更するのに用いることができ、また、異なる動作条件の下でのより多くの実行時情報としての入力データが利用可能となるが、そのような情報を有することは、この実施形態においては、プロセス検知、制御及び故障検出の場合、必要とされない。
【0055】
図6は、本発明の一実施形態に係る、第1の原理シミュレーション法を用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。この図を見て分かるように、前記システムは、APCインフラストラクチャ604に結合された処理ツール602を含み、前記インフラストラクチャは、シミュレーションモジュール606と、APCコントローラ608と、ライブラリ610とを含む。また、測定ツール612及びリモートコントローラ614もAPCインフラストラクチャ604に結合されている。図6を見て分かるように、ライブラリ610は、ソリューション(解)データベース616及びグリッドデータベース618を含んでもよい。
【0056】
処理ツール602は、図1に関して説明した半導体処理ツール102として実施することができる。従って、処理ツール602は、例えば、材料処理システム、エッチングシステム、フォトレジストスピンコートシステム、リソグラフィシステム、絶縁膜コーティングシステム、成膜装置、熱アニール用RTPシステム、および/またはバッチ式拡散炉、あるいは、その他の適当な半導体製造装置とすることができる。図6を見て分かるように、処理ツール602は、ツールデータをシミュレーションモジュール606に供給し、また、以下にさらに説明するように、APCコントローラ608から制御データを受取る。処理ツール602は、測定ツール612にも結合されており、前記測定ツールは、プロセス結果情報をシミュレーションモジュール606に供給する。
【0057】
シミュレーションモジュール606は、コンピュータ、ワークステーション、または、第1の原理シミュレーション法を実行して、ツール602によって実行されるプロセスを制御することが可能な他の処理装置であり、そのため、図3に関して説明したシミュレーションモジュール302として実施することができる。従って、シミュレーションモジュール602は、図1に関して説明した第1の原理物理的モデル106及び第1の原理シミュレーションプロセッサ108と、第1の原理シミュレーションを実行してプロセスを制御するのに役に立つ他の何らかのハードウェアおよび/またはソフトウェアとを含む。図6の実施形態において、シミュレーションモジュール606は、シミュレーションモデルの実行中に、処理及び後の利用のために、ツール602上の1つ以上の診断からツールデータを受取るように構成されている。前記ツールデータは、上述した流体力学的データ、電気的データ、化学的データ、熱的及び機械的データ、または、図1及び図2に関して上述した何らかの入力データを含んでもよい。図6の実施形態において、前記ツールデータは、シミュレーションモジュール606上で実行されるモデルのための境界条件及び初期条件を決めるのに用いることができる。前記モデルは、例えば、流れ場、電磁場、温度場、化学作用、表面化学作用(すなわち、エッチング表面化学作用または堆積表面化学作用)等を計算するために、上述のANSYS、FLUENTまたはCFD−ACE+コードを含むことができる。第1の原理から展開された前記モデルは、前記ツールのプロセス制御のための入力を生成するために、前記処理システム内で、詳細を解くことができる。
【0058】
APCコントローラ608は、シミュレーションモジュール606からシミュレーション結果を受取り、かつ前記シミュレーションを用いて、ツール602上で実行されるプロセスのプロセス調整/補正のための制御手順を実施するために、シミュレーションモジュール606に結合されている。例えば、調整は、プロセスの非均一性を補正するように実行することができる。本発明の一実施形態においては、1つ以上の摂動解がシミュレーションモジュール606上で実行され、処理ツール602で実行されているプロセスのためのプロセス解が中心となる。摂動解は、例えば、補正を施すn次元空間内での方向を決めるために、最急降下法(数値法、ダールクイスト及びビョーク、プレンティスホール、プレンティスホール社、エングルウッド・クリフス、ニュージャージー州、1974年、441頁(Numerical Methods、Dahlquist&Bjorck,Prentice−Hall,Inc.,Englewood Cliffs,NJ,1974,p.441);数値レシピ、プレス等、ケンブリッジ大学出版局、ケンブリッジ、1989年、289から306頁(Numerical Recipes,Press et al.,Cambridge University Press,Cambridge,1989,pp.289−306)等の非線形最適化スキームと共に用いることができる。そして、前記補正は、APCコントローラ608によって、処理ツール602上で実施することができる。例えば、少なくとも1つのツールデータ(すなわち、物理的センサのデータ)または前記シミュレーションの現在の実行からの結果は、前記処理システムが、現在の初期条件/境界条件を考慮すれば、上記基板の上にある非均一な静圧場を呈することを示すことができる。前記非均一性も、前記基板上で、上記測定ツールにより測定された、前記基板プロセスのパフォーマンスを定量化するのに用いられる測定基準、すなわち、クリティカルディメンション、形状構成の深さ、膜厚等の観察された非均一性に貢献することができる。入力パラメータを前記シミュレーションの現在の実行に対して摂動させることにより、前記静圧の非均一性を除去または低減するためにとる最良の「ルート」を判断するために、摂動解のセットを得ることができる。例えば、前記プロセスのための入力パラメータは、圧力、(プラズマを生成する電極に供給される)電力、ガス流量等を含むことができる。一度に1つの入力パラメータを摂動させ、かつ他の全ての入力パラメータを一定に保っている間、前記プロセスの非均一性を補正するのに適した補正を導く上記認識した最適化スキームと共に用いることができる感度行列を形成することができる。
【0059】
本発明の他の実施形態においては、シミュレーション結果は、「プロセスのパフォーマンスを検出し、識別し、かつ補正する方法(「Method of detecting,identifying,and correcting process performance」)」というタイトルの係属中の米国特許出願第60/343174号明細書に記載されているように公式化された主成分分析(principal components analysis;PCA)モデルと共に用いられ、前記明細書の内容を本願明細書に援用する。前記明細書においては、シミュレートされた符号定数(すなわち、シミュレーションモデル結果の空間成分)と、多変量解析(すなわち、PCA)を用いた少なくとも1つの制御可能なプロセスパラメータのセットとの関係を判断することができる。この関係は、プロセスパフォーマンスパラメータに対応するデータプロファイル(すなわち、モデル結果)を改善するのに用いることができる。主成分分析は、上記半導体処理ツールのシミュレーションの結果(または、予測された出力)の空間成分と、少なくとも1つの制御変数(または、入力パラメータ)のセットとの間の関係を判断する。判断された関係は、シミュレートされた結果(または、可能な場合には、測定された結果)の非均一性を改善する(または、減らす)ために、前記空間成分の大きさの最小化を引き起こすために、前記少なくとも1つの制御変数(または、入力パラメータ)に対する補正を判断するのに用いられる。
【0060】
上述したように、図6においてシミュレーションモジュール606に結合されているライブラリ610は、ソリューションデータベース616及びグリッドデータベース618を含むように構成されている。ソリューションデータベース616は、解の粗いn次元データベースを含むことができ、それにより、前記n次元空間の次数nは、所定の解アルゴリズムに対する独立したパラメータの数によって決定される。シミュレーションモジュール606が、所定のプロセス実行のためのツールデータを検索する場合、ライブラリ610が、モデル入力に基づいて探索され、最も良く適合する解を決めることができる。この解は、本発明に従って、後の第1の原理シミュレーションのための初期条件として用いることができ、それにより、前記シミュレーションモジュールによって実行されて、シミュレーション結果を生成するのに必要な繰返しの数が低減される。各モデル実行を用いて、新たな解をソリューションデータベース616に追加することができる。また、グリッドデータベース618は、1つ以上のグリッドセットを含むことができ、それにより、各グリッドセットは、所定の処理ツールまたは処理ツールの結合構造を扱う。各グリッドセットは、粗いものから細かいものまでの異なるグリッド解を有する1つ以上のグリッドを含むことができる。グリッドの選択は、マルチグリッド解法を実行することにより解決時間を低減する(すなわち、粗いグリッド上でのシミュレーション結果に続いて、より細かいグリッド上、最も細かいグリッド上での解等を解く)のに用いることができる。
【0061】
測定ツール612は、エッチングレート、堆積速度、エッチング選択性(第1の材料物質がエッチングされる速度と、第2の材料物質がエッチングされる速度との比)、エッチングクリティカルディメンション(例えば、形状構成の長さまたは幅)、エッチング形状構成異方性(例えば、エッチング形状構成のサイドウォールプロファイル)、膜の特性(例えば、膜ストレス、孔隙率等)、マスク(例えば、フォトレジスト)の膜厚、マスク(例えば、フォトレジスト)パターンのクリティカルディメンション、または、上記半導体処理ツールによって実行されるプロセスの他の何らかのパラメータ等のプロセスパフォーマンスのパラメータを測定するように構成することができる。リモートコントローラ612は、モデルソルバーパラメータ(すなわち、ソルバーパラメータアップデート)、解状態、モデル解及び解収束履歴を含む情報を、シミュレーションモジュール606と交換する。
【0062】
図7は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。前記フローチャートは、基板または基板のバッチを、処理ツール602等の処理ツール内で処理するステップ702で始まるように示されている。ステップ704において、ツールデータが測定されて、シミュレーションモジュール606等のシミュレーションモジュールへの入力として供給される。そして、ステップ706に示すように、境界条件(boundary conditions:BC)及び初期条件(initial conditions:IC)が、前記シミュレーションモジュールの第1の原理物理的モデルに課されて、前記モデルがセット(設定)される。ステップ708において、前記第1の原理物理的モデルが実行されて、図6のAPCコントローラ608等のコントローラに出力される第1の原理シミュレーション結果を生成する。そして、前記コントローラは、ステップ710に示すように、前記シミュレーション結果から制御信号を決定する。いつでも、例えば、ラントゥラン(run−to−run)でもバッチトゥバッチ(batch−to−batch)でも、前記オペレータは、APCコントローラ608内で用いるべき制御アルゴリズムを選択する機会を有する。例えば、前記APCコントローラは、プロセスモデル摂動結果またはPCAモデル結果のいずれかを用いることができる。ラントゥランまたはバッチトゥバッチのいずれかにおいて、前記プロセスは、ステップ712に示すように、シミュレーション結果を用いて、前記コントローラにより調整/補正することができる。
【0063】
本発明の別の実施形態においては、経験的モデルを、第1の原理シミュレーションと共に用いて、上記処理ツールによって実行されるプロセスの制御を実行することができる。図8は、本発明の一実施形態に係る、第1の原理シミュレーション方法及び経験的モデルを用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。この図を見て分かるように、前記システムは、APCインフラストラクチャ804に結合された処理ツール802を含み、前記APCインフラストラクチャは、シミュレーションモジュール806及びAPCコントローラ808を含む。また、測定ツール812及びリモートコントローラ814もAPCインフラストラクチャ804に結合されている。これらのアイテムは、図8のアイテムが、さらに、経験的モデルを考慮して機能するように構成されていることを除いて、図6に関して論じた対応するアイテムと同様である。従って、これら同様のアイテムについては、図8に関して説明しない。
【0064】
図8を見て分かるように、上記システムは、モデル解析プロセッサ840を含み、シミュレーションモジュール806に結合され、かつモジュール806からシミュレーション結果を受取るように構成されている。図8の実施形態において、モデル解析は、前記シミュレーション結果の無次元化からの経験的モデルの構成を含む。シミュレーション結果が、ラントゥランまたはバッチトゥバッチ基準で受取られると、経験的モデルが構成されて、経験的モデルライブラリ842に蓄積される。例えば、処理ツール802は、プロセス開発からイールドランプ(yield ramp)を経て量産に至るまでのプロセスサイクルの履歴を経験する。前記プロセスサイクルの間、前記ツールのプロセスチャンバは、「クリーンな」チャンバから、チャンバの性格づけ及びならしを経て、チャンバ洗浄及びメンテナンスに先立つ「経年」チャンバまで変化する。いくつかのメンテナンスサイクルの後、経験的モデルは、特定のプロセス及び前記特定のプロセスに関連するプロセスに対応するパラメータ空間の統計的に十分なサンプルを含むように変化することができる。換言すれば、洗浄サイクル、プロセスサイクル及びメンテナンスサイクルを通じて、ツール802は(前記シミュレーションモジュールと協力して)前記パラメータ空間の境界を本質的に決める。最終的には、ライブラリ842に蓄積された、前記変化した経験的モデルは、第1の原理シミュレーションに基づく概してより集約的なプロセスモデルに取って代わることができ、また、プロセス調整/補正のための前記APCコントローラへの入力を生成することができる。
【0065】
図8を見て分かるように、リモートコントローラ814は、前記経験的モデルの進展変化をモニタするために、および、前記シミュレーションモジュールコントローラ入力を置換え、かつ経験的モデルコントローラ入力を選ぶための決定を制定するために、経験的モデルライブラリ842に結合することができる。また、測定ツール814は、同様に、較正のために、経験的モデルデータベースへの入力を生成するために、前記経験的モデルデータベース(図示しない接続)に結合することができる。
【0066】
図9は、本発明の一実施形態に係る、第1の原理シミュレーション方法及び経験的モデルを用いて、半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。前記フローチャートは、処理ツール802等の処理ツール内で基板または基板のバッチを処理するステップ902で始まるように示されている。ステップ904において、ツールデータが測定されて、シミュレーションモジュール806等のシミュレーションモジュールへの入力として生成される。次いで、ステップ906に示すように、境界条件及び初期条件が前記シミュレーションモジュールの第1の原理物理的モデルに課せられて、前記モデルがセット(設定)される。ステップ908において、前記第1の原理物理的モデルは、ステップ910に示すように、解析及び経験的モデルの構成のために出力される第1の原理シミュレーション結果を実行するように実行される。
【0067】
いつでも、例えば、ラントゥランまたはバッチトゥバッチのどちらでも、前記オペレータは、前記第1の原理シミュレーションまたは前記物理的モデルに基づいてプロセス制御を選択する機会を有する。前記物理的モデルの構築におけるある時点において、前記オペレータは、前記時点で、データのライブラリ、および補間/外挿スキームを用いて、ツールデータの所定のセットのためのコントローラ入力を素早く導き出すことができる前記経験的モデルの利益になるように、前記第1の原理シミュレーションを置換えるように選択してもよい。それに伴って、決定ブロック912は、前記第1の原理シミュレーションまたは前記経験的モデルが前記プロセスを制御するのに用いられるか否かを判断する。ステップ912において、置き換えないと判断されると、前記処理は、ステップ914に移って、上記APCコントローラが、前記シミュレーション結果から制御信号を決定する。モデルの置換えが選択された場合には、前記APCコントローラは、ステップ916に示すように、前記経験的モデルから制御信号を決定する。他の実施形態においては、第1の原理シミュレーション結果及び経験的モデリングの組合せを、前記APCコントローラにより用いて、前記プロセスを制御することができる。ステップ918に示すように、前記プロセスは、ステップ914に示すモデル出力またはステップ916に示す経験的モデル出力のいずれかを用いて、前記コントローラにより調整/補正することができる。従って、図9の処理は、経験的モデルの現場での構成の方法を示し、また、統計的に有効な前記経験的モデルは、計算的に集中するシミュレーションプロセスモデルを置換えることができる。プロセス制御中、指数重み付き移動平均(exponentially weighted moving average;EWMA)フィルタ等のフィルタを、要求される補正の一部のみを与えるために用いることができる。例えば、前記フィルタの適用は、Xnew=(1−λ)Xold+λ(Xpredicted−Xold)のかたちをとることができ、ただし、Xnewは、所定の入力パラメータ(制御変数)の新しい値であり、Xoldは、所定の入力パラメータの古い(または、これまでに用いられた)値であり、Xpredictedは、上述した方法のうちの1つに基づく入力パラメータの予測値であり、λは、0から1のフィルタ係数である。
【0068】
本発明のまた別の実施形態においては、故障検出器/分類器を第1の原理シミュレーションと共に用いて、上記処理ツールによって実行されるプロセスの制御を実行することができる。図10は、本発明の一実施形態に係る、第1の原理シミュレーション方法及び故障検出器を用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。この図を見て分かるように、前記システムは、APCインフラストラクチャ1004に結合された処理ツール1002を含み、前記APCインフラストラクチャは、シミュレーションモジュール1006と、APCコントローラ1008と、ライブラリ1010とを含む。図10には示されていないが、ライブラリ1010は、ソリューションデータベース及びグリッドデータベースを含む。また、APCインフラストラクチャ1004には、測定ツール1012及びリモートコントローラ1014も結合されている。これらのアイテムは、図10のアイテムがさらに、故障検出を考慮して機能するように構成されていることを除いて、図6に関して論じた対応するアイテムと同様である。従って、これらの同様のアイテムは、図10に関して説明しない。
【0069】
図10を見て分かるように、上記システムは、シミュレーションモジュール1006に結合され、かつモジュール1006からシミュレーション結果を受取るように構成されている故障検出器1040を含む。例えば、シミュレーションモジュール1006の出力は、データのプロファイルを含むことができる。前記データのプロファイルは、故障検出装置1040で実行される部分最小二乗法(partial least squares;PLS)等の多変量解析に対する入力として作用することができる。PLS解析においては、シミュレートした結果Ysimと実際の結果Yrealとの差を表すパフォーマンスデータ([Y])を処理するために、ツール摂動データ([X])に関連するローディング(または相関)係数のセットを定義することができる。
【0070】
ここで示した[X],[Y]は、後述する式(1),式(2a)、式(2b)、及び式(2c)に記載されているように、X、Yの上部にバーを有したものであるが、文章中で用いる場合には、上部のバーの代わりに[]を前後に付すことにより表すものとする。また[B]、[P]、[C]、[T]、[E]、[F]、[H]、[U]、[W]についても、同じく[]内の文字の上部にバーを付したものを示すものである。
【0071】
例えば、PLSを用いると、ツール摂動データの観測セットは、上記シミュレーションモジュールから故障検出器1040によって受取られる。前記ツール摂動データは、その場で判断され、現在のモデル解の中心となり、または、前記プロセスモデルを用いて、n次元解空間内で推測が判断される。n次元パラメータ空間の次数(n)は、前記解空間内の独立したパラメータ(すなわち、圧力、質量流量、温度等;以下参照)の数に関連する。
【0072】
所定の摂動セットに対して、それぞれの摂動導関数(すなわち、∂Y/∂v1、∂Y/v2,∂Y/∂v3、ここで、v1、v2、v3は、異なる独立したパラメータ)は、行列[X]に格納される。各観測セットに対して、ツール摂動データは、行列[X]の列として格納することができ、また、プロセスパフォーマンスデータ(すなわち、Ysim−Yreal)は、行列[Y]内の列として格納することができる。従って、一旦、行列[X]が組立てられると、各行は、異なる摂動観測を表し、また、各列は、異なるツールデータパラメータを表す。一旦、行列[Y]が組立てられると、各行は、異なる観測を表し、また、各行は、異なるプロセスパフォーマンスパラメータを表す。一般に、行列[X]は、m×n行列とすることができ、また、行列[Y]は、m×p行列とすることができる。一旦、全てのデータが前記行列に格納されると、前記データは、必要に応じて、中央平均化することができおよび/または正規化することができる。行列の列に格納された前記データを中央平均化するプロセスは、列要素の平均値を計算することと、前記平均値を各要素から引き算することとを含む。また、前記行列の列に残っているデータは、前記列内のデータの標準偏差によって正規化することができる。
【0073】
一般に、多変量解析の場合、上記ツールデータとプロセスパフォーマンスデータとの関係は、次のように表すことができる。
【数1】

【0074】
ここで、[X]は、上述したm×n行列を表し、[B]は、n×p(p<n)ローディング(または、相関)行列を表し、[Y]は、上述したm×p行列を表す。一旦、データ行列[X]及び[Y]が組立てられると、[X]及び[Y]空間に最も近づくように、かつ[X]と[Y]との相関関係を最大化するようにデザインされた関係が、PLS解析を用いて確立される。
【0075】
PLS解析モデルにおいて、行列[X]及び[Y]は、次のように分解される。
【数2】

【0076】
ここで[T]は、[X]の変数を集約するスコアの行列であり、[P]は、行列[X]のためのローディングの行列であり、[U]は、[Y]の変数を集約するスコアの行列であり、[C]は、[Y]と[T]([X])との相関関係を表す重みの行列であり、[E]、[F]及び[H]は、残余の行列である。さらに、PLS解析モデルにおいては、[U]と[X]とを相関させ、[T]を計算するのに用いられる重みと呼ばれる追加的なローディング[W]がある。
【0077】
要約すると、PLS解析は、元のデータテーブル[X]及び[Y]にできる限り近づけるため、および、超平面上の観測位置の間の共分散を最大化するために、線、平面または超平面を、多次元空間内の点として表される[X]及び[Y]の両方のデータに適合させることに幾何学的に相当する。
【0078】
図11は、PLS解析に対するデータ入力、すなわち、[X]及び[Y]及び対応する出力[T]、[P]、[U]、[C]、[W]、[E]、[F]、[H]及び変数重要度(variable importance in the projection;VIP)を概略的に示す。PLS解析モデリングをサポートする市販のソフトウェアの実例は、(マサチューセッツ州ナティックのマスワークス社(The Mathworks,Inc.,Natick,MA)から市販されている)MATLABによって提供されるPLS_Toolbox、または、(ニュージャージー州キネロンのウメトリクス社(Umetrics,Kinnelon,NJ)から市販されている)SIMCA−P 8.0である。例えば、このソフトウェアに関するさらなる詳細は、SIMCA−P 8.0へのユーザーズマニュアルユーザガイドに記載されており、多変量データ解析の新たな標準は、ウメトリクスABのバージョン8.0であり、これも本発明に適している。一旦、行列が定式化されると、行列Xは、各シミュレーション結果に対して決められる。前記シミュレートされた結果と実際の結果との間のいかなる差も、PLS解析及びVIP結果を用いて、特定の(独立した)プロセスパラメータが決められ、かつ前記プロセスパラメータの属性と考えることができる。例えば、PLSモデルからの最大VIP値出力は、前記差の原因である可能性が最も高いプロセスパラメータに対応する。
【0079】
図12は、本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、故障を検出し、かつ半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。前記フローチャートは、処理ツール1002等の処理ツール内で基板または基板のバッチを処理するステップ1002で始まるように示されている。ステップ1204において、ツールデータが測定されて、シミュレーションモジュール1006等のシミュレーションモジュールへの入力として生成される。そして、ステップ1206に示すように、境界条件及び初期条件が、前記シミュレーションモジュールの物理的モデルに課されて、前記モデルが設定される。ステップ1208において、第1の原理物理的モデルが実行されて、図10のAPCコントローラ1008等のコントローラに出力される第1の原理シミュレーション結果が実行される。いつでも、例えば、ラントゥランまたはバッチトゥバッチのいずれかにおいて、前記オペレータは、前記APCコントローラ内で用いられる制御モデルを選択する機会を有する。例えば、前記APCコントローラは、プロセスモデル摂動結果またはPCAモデル結果のいずれかを用いることができる。ラントゥランまたはバッチトゥバッチのいずれかにおいて、前記プロセスは、モデル出力を用いて、前記コントローラにより調整/補正することができる。ステップ1010において、前記プロセスモデル出力は、故障検出器1040におけるPLSモデルへの入力として作用し、ステップ1012において、故障を検出し、かつ分類することを可能にする。例えば、上述したように、実際のプロセスパフォーマンスYrealと、所定のプロセス条件(すなわち、入力制御変数のセット)Ysimに対してシミュレートされた(または予測された)プロセスパフォーマンスとの差を、プロセス故障の存在を判断するのに用いることができ、Yrealは、物理的センサまたは測定ツールのいずれかを用いて測定され、Ysimは、現在のプロセス条件に対する入力を用意させたシミュレーションを実行することにより決められる。前記実際の結果とシミュレートされた結果との差(または、分散、2乗平均平方根、または他の統計量)が所定のしきい値を超えると、故障が発生することを予測することができる。所定のしきい値は、例えば、特定のデータに対する平均値の何分の一、すなわち、5%、10%、15%を含むことができ、あるいは、前記データの2乗平均平方根の倍数、すなわち、1σ、2σ、3σとすることができる。一旦、故障が検出されると、前記故障は、PLSを用いて分類することができる。例えば、感度行列[X]は、所定の入力条件(すなわち、入力制御変数のセット)に対して決定され(また、場合により、ライブラリ1010に蓄積され)る。ツール摂動データ(感度行列)は、その場で判断され、前記現在のモデル解を中心とされ、または、前記プロセスモデルを用いて、n次元解空間内で推測が判断される。前記感度行列、及び実際の結果とシミュレートされた結果との差を用いると、式(1)は、前記実際の結果とシミュレートされた結果との間の観測された差と最大の相関関係を呈する制御変数(入力パラメータ)を識別するために、PLS解析を用いて解くことができる。上述した実施例を用いると、上記プロセスパフォーマンスは、上記基板の上にある空間にわたる静圧のプロファイルによって集約することができる。実際の結果Yrealは、圧力の測定されたプロファイルを表し、また、Ysimは、圧力のシミュレートされたプロファイルを表す。ガス流量は設定されているが、マスフローコントローラは、前記流量を倍増させる(前記設定値をまだ伝達していない)と仮定する。すると、圧力のシミュレートされたプロファイルと測定された(実際の)プロファイルとの差が予見されるであろう。すなわち、前記流量は、実際のケースとシミュレートされたケースとの間で2倍だけ離れている。前記実際の結果とシミュレートされた結果との差は、所定のしきい値を超えるのに十分大きいであろう。PLS用いれば、ガス流量等の、圧力のプロファイルに影響を及ぼす傾向があるパラメータで最大のものが識別されるであろう。故障の存在及びその特徴付けは、処理ツールの故障状態としてオペレータに伝えることができ、あるいは、前記以上検出に応答して、上記APCコントローラに前記処理ツールの制御(例えば、稼働停止)を実行させることができる。
【0080】
図13は、本発明のプロセス制御の実施形態を適用することができる真空処理システムのブロック図である。図13に示す真空処理システムは、説明のために示されており、本発明の範囲を決して限定するものではない。前記真空処理システムは、基板1305を支持する基板ホルダ1304と、ガス注入システム1306と、真空ポンピング装置とを有する処理ツール1302を含む。ガス注入システム1306は、ガス注入プレートと、ガス注入プレナムと、前記ガス注入プレナム内の1つ以上のガス注入バッフルプレートとを含むことができる。前記ガス注入プレナムは、ガスA及びガスB等の1つ以上のガス供給部に結合することができ、ガスA及びガスBの前記処理システムへの質量流量は、2つのマスフローコントローラMFCA1308及びMFCB1310の影響を受ける。さらに、圧力P1を測定する圧力センサ1312は、前記ガス注入プレナムに結合することができる。前記基板ホルダは、例えば、限定するものではないが、前記基板と前記基板ホルダとの間のガスギャップ熱伝導率を改善するヘリウムガス供給部、静電クランプ装置、冷却要素及び加熱要素を含む温度制御要素、および、前記基板ホルダの表面へ及び前記表面から前記基板を持ち上げるリフトピンを含む複数の構成要素を含むことができる。また、前記基板ホルダは、前記基板ホルダの温度(T1)または基板温度を測定する温度センサ1314と、冷却剤の温度(T3)を測定する温度センサ1316とを含むことができる。上述したように、ヘリウムガスは、前記基板の裏面へ供給され、ガスギャップ圧力(P(He))は、1つ以上の位置で変化させることができる。さらに、別の圧力センサ1318を、チャンバ圧力(P2)を測定するために前記処理ツールに結合することができ、別の温度センサ1320を、表面温度(T2)を測定するために前記処理ツールに結合することができ、また、別の圧力センサ1322を、インレット圧力(P3)を測定するために、前記真空ポンピング装置のインレットに結合することができる。
【0081】
診断コントローラ1324は、上述したセンサの各々に結合することができ、これらのセンサからの測定値を、上述したシミュレーションモジュールへ供給するように構成することができる。図13の例示的なシステムの場合、前記シミュレーションモジュール上で実行されるモデルは、例えば、3つの成分、すなわち、熱成分、気体力学成分及び化学成分を含むことができる。前記第1の成分において、ガスギャップ圧力場は、ガスギャップ熱伝導率の計算前に決めることができる。その後、前記基板(及び基板ホルダ)に対する空間分解温度場は、境界温度、または、境界熱流束、抵抗加熱要素に与えられたパワー、冷却要素内で除去されたパワー、プラズマの存在による基板表面での熱流束等の境界条件(及び内部条件)を適切に設定することにより、決めることができる。
【0082】
本発明の一つの実施例においては、温度場を計算するのに、ANSYSが用いられる。上記プロセスモデルの第2の成分(すなわち、気体力学成分)を利用すると、ガス圧力場及び速度場は、前記熱成分で計算された表面温度、及び上述した測定値のうちのいくつかを用いて測定することができる。例えば、質量流量及び圧力(P1)は、インレット状態を判断するのに利用することができ、圧力(P3)は、アウトレット状態を判断するのに利用することができ、CFD−ACE+は、ガス圧力及び速度場を計算するのに用いることができる。化学的モデル(すなわち、第3の成分)を用いると、これまでに計算した速度、圧力及び温度場を、例えば、エッチングレートを計算するための化学的モデルへの入力として用いることができる。処理ツールの構造の複雑性により、これらのモデル成分の各々は、バッチトゥバッチのプロセスサイクル内の時間規模で実行することができる。これらの成分のうちのいずれか1つは、例えば、プロセス制御、手順、プロセス特徴付けおよび/または故障検出/分類への入力として空間均一性データを生成するのに用いることができる。
【0083】
処理条件の変化および/またはリアクタのエージング等の効果に応答した上記プロセスの得られたモデル及び解析から、経験的モデルを長い間に同化させることができる。従って、前記リアクタに対する繰り返しの数が、標準的な統計分析プログラムによって判断して統計的に有意になる場合、前記プロセス制御は、本質的にこれまでの実行動作の「繰り返し」であるプロセスに経験的に基づいている制御に進展する。さらに、本発明によれば、前記プロセス制御は、新たなプロセスまたは前記プロセスの形態の変更に適応する必要がある場合、第1の原理シミュレーションを実行する能力を戻す。
【0084】
図14は、本発明の一実施形態を実施することができるコンピュータシステム1401を示す。コンピュータシステム1401は、上述した第1の原理シミュレーションプロセッサの機能のいずれかまたは全てを実行する第1の原理シミュレーションプロセッサ108として用いることができ、または、図1から図13に関して説明したいずれかの処理工程を実行する他のデバイスとして用いることができる。コンピュータシステム1401は、バス1402、または情報を伝達する他の通信メカニズムと、バス1402に結合された、前記情報を処理するプロセッサ1403とを含む。また、コンピュータシステム1401は、バス1402に結合された、情報及びプロセッサ1403により実行すべき命令を蓄積する、ランダムアクセスメモリ(RAM)または他の動的記憶装置(例えば、ダイナミックRAM(DRAM)、スタティックRAM(SRAM)及び同期DRAM(SDRAM))等の主記憶装置1404も含む。また、主記憶装置1404は、プロセッサ1403による命令の実行中に、一時的数値変数または他の中間情報を蓄積するのに用いることができる。コンピュータシステム1401は、バス1402に結合された、静的情報及びプロセッサ1403のための命令を蓄積する、ROM1405または他の静的記憶装置(例えば、プログラマブルROM(PROM)、消去可能PROM(EPROM)及び電気的消去可能PROM(EEPROM))をさらに含む。
【0085】
また、コンピュータシステム1401は、磁気ハードディスク1407及びリムーバブル(取外し可能)メディアドライブ1408(例えば、フロッピー(登録商標)ディスクドライブ、読取り専用コンパクトディスクドライブ、読取り/書込みコンパクトディスクドライブ、コンパクトディスクジュークボックス、テープドライブ及びリムーバブル光磁気ドライブ)等の、情報及び命令を格納する1つ以上の記憶装置を制御する、バス1402に結合されたディスクコントローラ1406も含む。これらの記憶装置は、適当なデバイスインタフェース(例えば、スカジー(SCSI)、IDE(integrated device electronics)、エンハンストIDE(E−IDE)、ダイレクトメモリアクセス(DMA)またはウルトラDMA)を用いて、コンピュータシステム1401に追加することができる。
【0086】
また、コンピュータシステム1401は、専用論理素子(例えば、特定用途向けIC(ASIC))またはコンフィギュラブル論理素子(例えば、SPLD(simple programmable logic device)、CPLD(complex programmable logic device)及び書替え可能ゲートアレイ(FPGA))も含んでもよい。
【0087】
また、コンピュータシステム1401は、バス1402に結合された、情報をコンピュータのユーザに対して表示する、CRT等のディスプレイ1410を制御するディスプレイコントローラ1409も含んでもよい。前記コンピュータシステムは、コンピュータのユーザと対話し、かつ情報をプロセッサ1403に供給する、キーボード1411及びポインティングデバイス1412等の入力デバイスを含む。ポインティングデバイス1412は、例えば、マウス、トラックボール、または、方向情報及びコマンド選択をプロセッサ1403へ伝達し、ディスプレイ1410上でのカーソルの動きを制御するポインティングスティックであってもよい。また、プリンタが、コンピュータシステム1401によって蓄積されおよび/または生成されたデータのリストを生成してもよい。
【0088】
コンピュータシステム1401は、主記憶装置1404等の記憶装置に含まれている1つ以上の命令からなる1つ以上のシーケンスを実行するプロセッサ1403に応答して、本発明の処理工程の一部または全てを実行する。そのような命令は、ハードディスク1407またはリムーバブルメディアドライブ1408等の他のコンピュータ可読媒体から主記憶装置1404に読み込むことができる。主記憶装置1404に含まれる命令からなるシーケンスを実行するには、多重処理構成における1つ以上のプロセッサを用いてもよい。代替の実施形態においては、ソフトウェア命令の代わりに、または、ソフトウェア命令と共に、ハードワイヤード回路を用いてもよい。従って、実施形態は、ハードウェア回路及びソフトウェアのいずれかの特定の組合せに限定されない。
【0089】
上述したように、コンピュータシステム1401は、本発明の教示に従ってプログラムされた命令を保持し、データ構造、テーブル、レコードまたは本願明細書に記載した他のデータを含む、少なくとも1つのコンピュータ可読媒体または記憶装置を含む。コンピュータ可読媒体の実例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または、他の何らかの磁気媒体、コンパクトディスク(例えば、CD−ROM)、あるいは、他の何らかの光媒体、パンチカード、紙テープ、または、穴のパターンを有する他の物理的媒体、(以下に説明する)搬送波、あるいは、コンピュータがそこから読み出すことができる他の何らかの媒体である。
【0090】
本発明は、いずれか1つのコンピュータ可読媒体またはコンピュータ可読媒体の組合せに蓄積された、コンピュータシステム1401を制御するためのソフトウェアを、本発明を実施する装置を駆動するために、および、コンピュータシステム1401が人間のユーザ(印刷物要員)と対話できるようにするために、含む。そのようなソフトウェアは、限定するものではないが、デバイスドライバ、オペレーティングシステム、開発ツール及びアプリケーションソフトウェアを含んでもよい。このようなコンピュータ可読媒体は、本発明を実施する際に実行される処理の全てまたは一部(処理が分散される場合)を実行する、本発明のコンピュータプログラムプロダクトをさらに含む。
【0091】
本発明のコンピュータコードデバイスは、限定するものではないが、スクリプト、解釈可能なプログラム、DLL(dynamic link library)、Java(登録商標)クラス及び完全に実行可能なプログラムを含む、何らかの解釈可能なまたは実行可能なコードメカニズムであってもよい。また、本発明の処理の一部は、より良好なパフォーマンス、信頼性および/またはコストのために分散させてもよい。
【0092】
「コンピュータ可読媒体」という用語は、本願明細書で用いる場合、命令を実行のためにプロセッサ1403へ供給する際に共有する何らかの媒体を指す。コンピュータ可読媒体は、限定するものではないが、不揮発性媒体、揮発性媒体及び伝送媒体を含む多くの形態をとることが可能である。不揮発性媒体は、例えば、光ディスク、磁気ディスク、および、ハードディスク1407またはリムーバブルメディアドライブ1408等の光磁気ディスクを含む。揮発性媒体は、主記憶装置1404等のダイナミックメモリを含む。伝送媒体は、バス1402を構成するワイヤを含む、同軸ケーブル、銅ワイヤ及び光ファイバを含む。また、伝送媒体は、電波通信及び赤外線データ通信の間に生成されるような音波または光波の形をとってもよい。
【0093】
実行のためのプロセッサ1403への1つ以上の命令の1つ以上のシーケンスを実行する際には、コンピュータ可読媒体の様々な形態を含むことができる。例えば、前記命令は、最初は、リモートコンピュータの磁気ディスク上で実行することができる。前記リモートコンピュータは、前記命令をロードして、本発明の全てまたは一部をダイナミックメモリに遠隔的に実装し、モデムを用いて、電話線を介して前記命令を送信することができる。コンピュータシステム1401に対して局所的なモデムは、前記電話線を介して前記データを受取り、赤外線送信機を用いて、前記データを赤外線信号に変換してもよい。バス1402に結合された赤外線検出器は、前記赤外線信号で搬送されるデータを受取り、前記データをバス1402上に出すことができる。バス1402は、前記データを主記憶装置1404へ伝え、プロセッサ1403は、前記記憶装置から検索し、前記命令を実行する。主記憶装置1404によって受取られた命令は、プロセッサ1403による実行の前または後に、状況に応じて記憶装置1407または1408に格納することができる。
【0094】
コンピュータシステム1401は、バス1402に結合されたコミュニケーションインタフェース1413も含む。コミュニケーションインタフェース1413は、例えば、ローカルエリアネットワーク(LAN)1415に、または、インターネット等の他のコミュニケーションネットワーク1416に接続されているネットワークリンク1414につながる双方向データ通信を実現できる。例えば、コミュニケーションインタフェース1413は、パケット交換型LANに付随するネットワークインタフェースカードとすることができる。別の実施例として、コミュニケーションインタフェース1413は、非対称デジタル加入者回線(ADSL)カード、統合デジタル通信サービス網(ISDN)カード、または、データ通信接続を対応する種類の通信ラインに対して形成するモデムとすることができる。無線リンクを実装することもできる。このようないずれかの実施においては、コミュニケーションインタフェース1413は、様々な種類の情報を表すデジタルデータストリームを有する電気的信号、電磁気的信号または光信号を送受信する。
【0095】
ネットワークリンク1414は、一般に、1つ以上のネットワークを介して他のデータ装置へのデータ通信を実行できる。例えば、ネットワークリンク1414は、ローカルネットワーク1415(例えば、LAN)を介して、または、コミュニケーションネットワーク1416を介して通信サービスを提供するサービスプロバイダによって作動される機器を介して、他のコンピュータへの接続を形成してもよい。ローカルネットワーク1414及びコミュニケーションネットワーク1416は、例えば、デジタルデータストリームを有する電気的信号、電磁気的信号または光信号、及び関連する物理層(例えば、CAT5ケーブル、同軸ケーブル、光ファイバ等)を用いる。コンピュータシステム1401へ及び前記コンピュータシステムからデジタル信号を伝える、様々なネットワークを介した信号、および、ネットワークリンク1414上の及びコミュニケーションインタフェース1413を介した信号は、ベースバンド信号に、または、搬送波をベースとする信号に実装することができる。前記ベースバンド信号は、デジタルデータビットからなるストリームを示す変調されていない電気的パルスとして前記デジタルデータを伝達し、この場合、「ビット」という用語は、符号を意味するように幅広く解釈すべきであり、各符号は、少なくとも1つ以上の情報ビットを伝達する。また、前記デジタルデータは、例えば、振幅、位相および/または伝導媒体を介して伝播され、または、伝播媒体を介して電磁波として送信される周波数偏移変調信号を有する搬送波を変調するのに用いることもできる。従って、前記デジタルデータは、「有線」通信チャネルを介して変調されていないベースバンドデータとして送信することができ、および/または搬送波を変調することにより、ベースバンドとは異なる所定の周波数帯域内で送信することができる。コンピュータシステム1401は、プログラムコードを含むデータを、ネットワーク1415及び1416、ネットワークリンク1414及びコミュニケーションインタフェース1413を介して送受信することができる。また、ネットワークリンク1414は、LAN1415を介した、携帯情報端末(personal digital assistant;PDA)ラップトップコンピュータまたは携帯電話等のモバイルデバイス1417への接続を形成してもよい。
【0096】
上記の教示を考慮すれば、本発明の多くの変更例及び変形例が可能である。従って、添付クレームの範囲内で、本発明を、本願明細書で具体的に説明したのとは異なる方法で実施できることを理解すべきである。例えば、本願明細書で説明した及びクレームに列挙した処理工程は、同時に、または、本願明細書に説明されているまたは記載されている順序以外の順序で実行してもよい。当業者は、後の処理工程の実行に必要な処理工程は、単に、前記後の処理工程が実行される前に実行される必要があるにすぎないことを理解すべきである。
【図面の簡単な説明】
【0097】
【図1】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にするシステムのブロック図である。
【図2】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にする処理を示すフローチャートである。
【図3】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを容易にするのに用いることができるネットワークアーキテクチャのブロック図である。
【図4】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツール上で仮想センサ測定を実行できるシステムのブロック図である。
【図5】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツール上でプロセスの特性を明らかにするシステムのブロック図である。
【図6】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。
【図7】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。
【図8】本発明の一実施形態に係る、第1の原理シミュレーション方法及び経験的なモデルを用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。
【図9】本発明の一実施形態に係る、第1の原理シミュレーション方法及び経験的なモデルを用いて、半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。
【図10】本発明の一実施形態に係る、第1の原理シミュレーション方法及び故障検出器を用いて、半導体処理ツールによって実行されるプロセスを制御するシステムのブロック図である。
【図11】PLS解析に対するデータ入力、[X]及び[Y]及び、対応する出力[T]、[P]、[U]、[C]、[W]、[E]、[F]、[H]及び射影における変数重要度(variable importance in the projection;VIP)の概略図である。
【図12】本発明の一実施形態に係る、第1の原理シミュレーション方法を用いて、故障を検出し、かつ半導体処理ツールによって実行されるプロセスを制御する処理を示すフローチャートである。
【図13】本発明のプロセス制御の実施形態を適用することができる真空処理システムのブロック図である。
【図14】本発明の実施形態を実装することができるコンピュータシステムを示す。

【特許請求の範囲】
【請求項1】
半導体処理ツールによって実行されるプロセスを制御する方法であって、
前記半導体処理ツールによって実行されるプロセスに関連するデータを入力することと、
前記半導体処理ツールに関連する第1の原理物理的モデルを入力することと、
第1の原理シミュレーション結果を生成するように前記入力データ及び前記物理的モデルを用いて第1の原理シミュレーションを実行することと、
経験的モデルを構築するように第1の原理シミュレーション結果を使用することと、
前記第1の原理シミュレーション結果および経験的モデルの少なくとも一方が半導体処理ツールによって実行されるプロセスを制御するように選ばれることとを具備する方法。
【請求項2】
前記入力することは、前記半導体処理ツールによって実行されるプロセスに関連するデータを、前記半導体処理ツールに物理的に設けられた物理的センサと、測定ツールとのうちの少なくとも一方から直接入力することを備えている請求項1の方法。
【請求項3】
前記入力することは、前記半導体処理ツールによって実行されるプロセスに関連するデータを、手動入力デバイスと、データベースとのうちの少なくとも一方から間接的に入力することを備えている請求項1の方法。
【請求項4】
前記間接的に入力することは、前記半導体処理ツールによってこれまでに実行されたプロセスから記録されたデータを入力することを備えている請求項3の方法。
【請求項5】
前記間接的に入力することは、シミュレーションオペレータによって設定されたデータを入力することを備えている請求項3の方法。
【請求項6】
前記データを入力することは、前記半導体処理ツールの物理的特性と、半導体ツール環境とのうちの少なくとも一方に関連するデータを入力することを備えている請求項1の方法。
【請求項7】
前記データを入力することは、前記半導体処理ツールによって実行されるプロセスの特性と、結果とのうちの少なくとも一方に関連するデータを入力することを備えている請求項1の方法。
【請求項8】
前記第1の原理物理的モデルを入力することは、前記半導体処理ツールの構成の空間分解されたモデルを入力することを備えている請求項1の方法。
【請求項9】
前記第1の原理物理的モデルを入力することは、所望のシミュレーション結果のための第1の原理シミュレーションを実行するのに必要な基本方程式を入力することを備えている請求項1の方法。
【請求項10】
前記第1の原理シミュレーションを実行することは、前記半導体処理ツールによって実行されるプロセスと同時に、第1の原理シミュレーションを実行することを備えている請求項1の方法。
【請求項11】
前記第1の原理シミュレーションを実行することは、前記半導体処理ツールによって実行されるプロセスから独立して、第1の原理シミュレーションを実行することを備えている請求項1の方法。
【請求項12】
前記第1の原理シミュレーションを実行することは、前記第1の原理シミュレーションモデルの境界条件を設定するように前記入力データを用いることを備えている請求項1の方法。
【請求項13】
前記第1の原理シミュレーションを実行することは、前記第1の原理シミュレーションモデルの初期条件を設定するように前記入力データを用いることを備えている請求項1の方法。
【請求項14】
前記第1の原理シミュレーション結果を使用することは、半導体処理ツールによって実行されるプロセスを制御するように第1の原理シミュレーション結果を使用することを備えている請求項1の方法。
【請求項15】
請求項1に記載された処理工程の少なくとも1つを実行するように相互接続された資源のネットワークを用いることをさらに具備する請求項1の方法。
【請求項16】
前記第1の原理シミュレーションの計算負荷を共有するように相互接続された計算資源の間でコード並列化を用いることをさらに具備する請求項15の方法。
【請求項17】
前記半導体処理ツールによって実行されるプロセスを制御するように、相互接続された資源の間のシミュレーション情報を共有することをさらに具備する請求項15の方法。
【請求項18】
前記シミュレーション情報を共有することは、異なる資源による実質的に同様の第1の原理シミュレーションの冗長な実行を低減するように、前記相互接続された資源の間にシミュレーション結果を分散することを備えている請求項17の方法。
【請求項19】
前記シミュレーション情報を共有することは、異なる資源による第1の原理シミュレーションの冗長な改良を低減するように、前記相互接続された資源の間にモデルチェンジを分散することを備えている請求項17の方法。
【請求項20】
前記半導体処理ツールによって実行される半導体プロセスを制御するように、広域網を介して遠隔資源を用いることをさらに具備する請求項15の方法。
【請求項21】
前記遠隔資源を用いることは、前記半導体処理ツールによって実行される前記半導体プロセスを制御するように、広域網を介して、リモート計算資源及び記憶資源のうちの少なくとも一方を用いることを備えている請求項21の方法。
【請求項22】
前記第1の原理シミュレーションを実行することは、ANSYSコンピュータコード、フルーエントコンピュータコード、CFRDC−ACEコンピュータコード、および直接相似モンテカルロコンピュータコードの少なくとも1つを利用する請求項1の方法。
【請求項23】
前記制御するように第1の原理シミュレーション結果を用いることは、材料処理システム、エッチングシステム、フォトレジストスピンコートシステム、リソグラフィシステム、誘電コーティングシステム、堆積システム、熱アニーリングのための高速熱処理システム、およびバッチ拡散炉の少なくとも1つを制御することを備えている請求項1の方法。
【請求項24】
前記制御するように第1の原理シミュレーション結果を用いることは、化学気相成長システムおよび物理蒸着システムの少なくとも一方を制御することを備えている請求項23の方法。
【請求項25】
前記データを入力することは、エッチング速度、堆積速度、エッチング選択性、エッチング限界寸法、エッチング形態異方性、膜特性、プラズマ密度、イオンエネルギー、化学的種の濃度、フォトレジストマスク膜厚さ、およびフォトレジストパターンディメンションの少なくとも1つを入力することを備えている請求項1の方法。
【請求項26】
前記データを入力することは、材料処理システム、エッチングシステム、フォトレジストスピンコートシステム、リソグラフィシステム、誘電コーティングシステム、堆積システム、熱アニーリングのための高速熱処理システム、およびバッチ拡散炉の少なくとも1つの物理的な幾何学的なパラメータを入力することを備えている請求項1の方法。
【請求項27】
前記制御するように第1の原理シミュレーション結果を使用することは、半導体処理ツールによって実行される前記プロセスを調整するように、経験的なモデル出力を用いて半導体処理ツールを制御することを備えている請求項1の方法。
【請求項28】
プロセスを実行するように構成された半導体処理ツールと、
この半導体処理ツールによって実行されるプロセスに関するデータを入力するように構成された入力デバイスと、
前記半導体処理ツールに関する第1の原理物理的モデルを入力し、入力データおよび第1の原理シミュレーション結果を提供する物理的モデルを使用して第1の原理シミュレーションを実行するように構成された第1の原理シミュレーションプロセッサと、
経験的モデルを構築するように第1の原理シミュレーション結果を使用することとを具備し、
前記第1の原理シミュレーション結果および前記経験的モデルの少なくとも一方は、半導体処理ツールによって実行されるプロセスを制御するように選ばれるシステム。
【請求項29】
前記入力デバイスは、半導体処理ツール上に物理的にマウントされた物理的センサおよび測定ツールの少なくとも1つを備えている請求項28のシステム。
【請求項30】
前記入力デバイスは、手動の入力デバイスおよびデータベースのうちの少なくとも一方を備えている請求項28のシステム。
【請求項31】
前記入力デバイスは、以前半導体処理ツールによって実行されたプロセスから記録されたデータを入力するように構成されている請求項30のシステム。
【請求項32】
前記入力デバイスは、シミュレーションオペレータによってデータセットを入力するように構成されている請求項30のシステム。
【請求項33】
前記入力デバイスは、半導体処理ツールおよび半導体ツール環境の物理学的性質の少なくとも一方に関するデータを入力するように構成されている請求項28のシステム。
【請求項34】
前記入力デバイスは、半導体処理ツールによって実行されるプロセスの特性および結果の少なくとも1つに関するデータを入力するように構成されている請求項28のシステム。
【請求項35】
前記プロセッサは、半導体処理ツールのジオメトリの空間分解モデルを有する第1の原理物理的モデルを入力するように構成されている請求項28のシステム。
【請求項36】
前記プロセッサは、所望のシミュレーション結果のための第1の原理シミュレーションを実行するのに必要な基本方程式を有する第1の原理物理的モデルを入力するように構成されている請求項28のシステム。
【請求項37】
前記プロセッサは、半導体処理ツールによって実行されるプロセスと同時に、前記第1の原理シミュレーションを実行するように構成されている請求項28のシステム。
【請求項38】
前記プロセッサは、半導体処理ツールによって実行されるプロセスと同時でなく、前記第1の原理シミュレーションを実行するように構成されている請求項28のシステム。
【請求項39】
前記プロセッサは、第1の原理シミュレーションモデルの境界条件を設定するように入力データを少なくとも使用することにより、前記第1の原理シミュレーションを実行するように構成されている請求項28のシステム。
【請求項40】
前記プロセッサは、第1の原理シミュレーションモデルの初期条件を設定するように入力データを少なくとも使用することにより、前記第1の原理シミュレーションを実行するように構成されている請求項28のシステム。
【請求項41】
前記プロセッサは、半導体処理ツールによって実行されるプロセスを制御するように第1の原理シミュレーション結果を使用するように構成されている請求項28のシステム。
【請求項42】
前記プロセッサに接続され、第1の原理シミュレーションモデルを入力することと、第1の原理シミュレーションを実行することとの少なくとも1つを前記プロセッサが実行するのをアシストするように構成された、相互接続された資源のネットワークを更に具備する請求項28のシステム。
【請求項43】
前記相互接続された資源のネットワークは、第1の原理シミュレーションの計算ロードを共有するように前記プロセッサとコード並行化を使用するように構成されている請求項42のシステム。
【請求項44】
前記相互接続された資源のネットワークは、半導体処理ツールによって実行される前記プロセスを容易にするようにシミュレーション情報を前記プロセッサと共有するように構成されている請求項42のシステム。
【請求項45】
前記相互接続された資源のネットワークは、実質的に同様の第1の原理シミュレーションの冗長な実行を低下させるように前記プロセッサにシミュレーション結果を分散するように構成されている請求項44のシステム。
【請求項46】
前記相互接続された資源のネットワークは、第1の原理シミュレーションの冗長な改善を低下させるように前記プロセッサにモデルチェンジを分散するように構成されている請求項44のシステム。
【請求項47】
広域ネットワークを介して前記プロセッサに接続され、半導体処理ツールによって実行される半導体プロセスを容易にするように構成されている遠隔資源を更に具備する請求項42のシステム。
【請求項48】
前記遠隔資源は、計算資源および記憶資源の少なくとも一方を備えている請求項47のシステム。
【請求項49】
前記プロセッサは、ANSYSコンピュータコード、フルーエントコンピュータコード、CFRDC−ACEコンピュータコード、および直接相似モンテカルロコンピュータコードの少なくとも1つを利用する第1の原理シミュレーションを実行するように構成されている請求項28のシステム。
【請求項50】
前記プロセッサは、材料処理システム、エッチングシステム、フォトレジストスピンコートシステム、リソグラフィシステム、誘電コーティングシステム、堆積システム、熱アニーリングのための高速熱処理システム、およびバッチ拡散炉の少なくとも1つを制御することによって制御するように第1の原理シミュレーション結果を使用するように構成されている請求項28のシステム。
【請求項51】
前記プロセッサは、化学気相成長システムおよび物理的蒸着システムの少なくとも一方を制御することによって制御するように第1の原理シミュレーション結果を使用するように構成されている請求項50のシステム。
【請求項52】
前記プロセッサは、エッチング速度、堆積速度、エッチング選択性、エッチング限界寸法、エッチング形態異方性、膜特性、プラズマ密度、イオンエネルギー、化学的種の濃度、フォトレジストマスク膜厚さ、およびフォトレジストパターンディメンションの少なくとも1つを入力するように構成されている請求項28のシステム。
【請求項53】
前記プロセッサは、材料処理システム、エッチングシステム、フォトレジストスピンコートシステム、リソグラフィシステム、誘電コーティングシステム、堆積システム、熱アニーリングのための高速熱処理システム、およびバッチ拡散炉の少なくとも1つの物理的な幾何学的なパラメータを入力するように構成されている請求項28のシステム。
【請求項54】
前記プロセッサは、半導体処理ツールによって実行される前記プロセスを調整するように経験的なモデル出力を用いることによって、半導体処理ツールを制御することによって制御するように第1の原理シミュレーション結果を使用するように構成されている請求項28のシステム。
【請求項55】
半導体処理ツールによって実行されるプロセスを容易にするシステムであって、
半導体処理ツールによって実行されるプロセスに関するデータを入力する手段と、
この半導体処理ツールに関する第1の原理物理的モデルを入力する手段と、
入力データおよび第1の原理シミュレーション結果を提供する物理的モデルを使用して第1の原理シミュレーションを実行する手段と、
経験的なモデルを構築するように第1の原理シミュレーション結果を使用する手段と、
半導体処理ツールによって実行されるプロセスを制御するように、第1の原理シミュレーション結果および経験的なモデルの少なくとも一方を選ぶ手段とを具備するシステム。
【請求項56】
第1の原理シミュレーションの計算ロードを共有する手段を更に具備する請求項55のシステム。
【請求項57】
半導体処理ツールによって実行されるプロセスを容易にするように、シミュレーション情報を相互接続された資源の間で共有する手段を更に備えている請求項55のシステム。
【請求項58】
プロセッサ上の実行のためのプログラム命令を含むコンピュータ可読媒体であって、
コンピュータシステムによって実行されるときに、
半導体処理ツールによって実行されるプロセスに関するデータを入力する工程と、
半導体処理ツールに関する第1の原理物理的モデルを入力する工程と、
入力データおよび第1の原理シミュレーション結果を提供する物理的モデルを使用して、第1の原理シミュレーションを実行する工程と、
経験的なモデルを構築するように第1の原理シミュレーション結果を使用する工程と、
半導体処理ツールによって実行されるプロセスを制御するように第1の原理シミュレーション結果および前記経験的なモデルの少なくとも一方を選ぶ工程とをプロセッサに実行させるコンピュータ可読媒体。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate

【図11】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate


【公表番号】特表2007−507888(P2007−507888A)
【公表日】平成19年3月29日(2007.3.29)
【国際特許分類】
【出願番号】特願2006−533875(P2006−533875)
【出願日】平成16年9月22日(2004.9.22)
【国際出願番号】PCT/US2004/028804
【国際公開番号】WO2005/050698
【国際公開日】平成17年6月2日(2005.6.2)
【出願人】(000219967)東京エレクトロン株式会社 (5,184)