説明

レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、キャリア・メディア及びシステム

本発明は、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、キャリア・メディア、そしてシステムに関する。レチクル・レイアウトに関し計測学的ターゲット構造設計を生成するためのコンピュータ実施方法の一つは、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。また本方法は、シミュレーション・ステップの結果に基づき計測学的ターゲット構造設計を生成することを含む。

【発明の詳細な説明】
【技術分野】
【0001】
優先権の主張
本出願は、2006年3月14日に出願された米国仮出願60/780,0758号「計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、シミュレーション・エンジン、キャリア・メディア、そしてシステム」への優先権を請求するものであり、該仮出願は、ここに詳述された参照文献として取り扱われる。
【0002】
本発明は、一般に、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、キャリア・メディア、そしてシステムに関する。幾つかの実施態様は、一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることと、シミュレーション・ステップの結果に基づき計測学的ターゲット構造設計を生成することを含むコンピュータ実施方法に関する。
【背景技術】
【0003】
以下の記述と例は先行技術の全てを包括するものでは無い。
論理デバイス又はメモリ・デバイスなどの半導体デバイスの製造は、一般に、半導体ウエハなどの検査サンプルを数多くの半導体製造プロセスを用いて処理し、半導体デバイスの多様なフィーチャと多様性を付与することを含む。例えば、リソグラフィーは、通常、半導体ウエハ上のレジストにパターンを転写することを含む半導体製造プロセスである。更に、他の半導体製造プロセスとしては、以下に限定されるものではないが、化学機械研磨、エッチング、成膜、イオン注入などがある。複数の半導体デバイスはウエハ上に配列された形態で製造され、その後、個々の半導体デバイスに分離される。
【0004】
先進の半導体デバイスのサイズの縮小に伴い、プロセス制御ウィンドウも小さくなりつつある。従って、半導体プロセスの監視と制御は、半導体の開発と製造において重要であり、将来も重要であり続ける。結果的に、測定可能であり、半導体製造プロセスの監視そして制御に使用可能なターゲット構造を改善するために多大な努力がなされており、これからもなされ続ける。
【0005】
現状において、リソグラフィック設計ルール、プロセスの拘束、計測学的パフォーマンスの考慮と適合性のある計測学的ターゲット構造を最善に設計するために確立された経験則に基づき、一般的なターゲット設計を変更するために、計測学技術者は、グラフィカル・データ・ストリーム(graphical data stream:GDS)ターゲット・ライブラリを用いるか、レイアウト技術者と提携する。計測学的ターゲット構造の最適化のための他の一般的な方法論は、幾つかの異なる計測学的ターゲット構造をウエハ上で隣り合わせて印刷することを含む。最適化は、デバイスそれ自体に対して実施され、そして、印刷された内で最善のターゲットがデバイス製造のために選択される。
【0006】
しかしながら、上に記載される計測学的ターゲット構造の生成に関しては、数多くの不都合が存在する。例えば、計測学的ターゲット構造のかかる生成には、多大な時間が要求される。特に、計測学的ターゲット構造に関するこのようなアプローチは、試行錯誤的であるため、比較的長い時間を要する。また、このようにして計測学的構造を生成することは、設計が満足いくものとなるまでに、三回ものマスク設計が時に必要である。更に、このような計測学的構造の生成は、計測学技術者のサポートに必ずしも即座に対応できるわけではない様々な異なる領域の専門家からの技術的支援を要求する。更に、このようにして計測学的構造を生成することは、リソグラフィー適合性、プロセス適合性、計測学的適合性を含む三つの分野の内、少なくとも一つの分野で準最適のターゲット設計になる。更に、上に記載される方法は、幾つかの異なる計測学的ターゲット構造をウエハ上で隣り合わせて印刷することを含むが、これらの方法は、デバイスの印刷と処理に専念した最適化の代わりに、計測学的ターゲットの特定の最適化を必要とするために手間が係るものである。
【発明の開示】
【発明が解決しようとする課題】
【0007】
従って、設計プロセスに関連し要求される設計サイクルの回数を削減し、そして、設計プロセスを自動化し、従って、ターゲットの設計と、試験及び/又は製品レチクルへの挿入とを実施する計測学技術者に要求される仕事の負担と専門度を低減する、レチクル・レイアウトに関して計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、キャリア・メディア、システムの開発が望まれる。
【課題を解決するための手段】
【0008】
方法とシステムの様々な実施態様に関する以下の記載は、本発明に係る請求項をいかなる条件においても制約するものでは無い。
【0009】
一実施態様は、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法に関する。本方法は、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスに基づくと共に、一つ又は複数の初期計測学的ターゲット構造設計に基づいて、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。また本方法は、そのシミュレーション・ステップの結果に基づき計測学的ターゲット構造設計を生成することを含む。
【0010】
一実施態様において、コンピュータ実施方法の全てのステップは、自動的に実施される。他の実施態様において、コンピュータ実施方法の全てのステップは、レチクル・レイアウトを用いたレチクルの製造以前に実施される。
【0011】
一実施態様において、本方法は、一つ又は複数の計測学的プロセスを記述する一つ又は複数のパラメータに基づき選択されたルールを用いて、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。他の実施態様において、本方法は、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスに基づき、一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることにより、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。更なる一実施態様において、本方法は、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスを記述する一つ又は複数のパラメータに基づき選択されたルールを用いて、一つ又は複数の初期計測学的ターゲット構造設計を生成することを更に含む。
【0012】
一実施態様において、本方法は、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるか、そして、ウエハ上の計測学的ターゲット構造を測定するために一つ又は複数の計測学的プロセスがどのように使用されるかをシミュレートすることの結果に基づき、ウエハ上に形成された一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることを含む。このような一実施態様において、生成するステップは、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることと、測定をシミュレートすることの結果に基づき、計測学的ターゲット構造設計を生成することを含む。
【0013】
幾つかの実施態様において、シミュレーション・ステップは、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値において、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。このような一実施態様において、生成するステップは、シミュレーションの結果に基づき一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定し、生成された計測学的ターゲット構造設計として、最も大きなプロセス・ウィンドウを有する初期計測学的ターゲット構造設計を選択することを含む。このような他の実施態様において、生成するステップは、シミュレーションの結果に基づき一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定することと、一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを、一つ又は複数の製造プロセスを用いてウエハ上に形成されるデバイス構造のプロセス・ウィンドウに比較することと、デバイス構造に関するプロセス・ウィンドウよりも大きなプロセス・ウィンドウを有する一つ又は複数の初期計測学的ターゲット構造設計に基づき計測学的ターゲット構造設計を選択することを含む。
【0014】
幾つかの実施態様において、生成するステップは、シミュレーション結果に拘束を適用することを含む。拘束は、一つ又は複数の製造プロセスと、ウエハ上の計測学的ターゲット構造を測定するために使用される一つ又は複数の計測学的プロセスに関する制約を示す。他の実施態様において、生成するステップは、シミュレーション・ステップの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計の一つを最適化することを含む。更なる実施態様において、本方法は、レチクル・レイアウトに関する標準フォーマットで生成された計測学的ターゲット構造設計に関するレイアウトを保管することを含む。
【0015】
一実施態様において、一つ又は複数の製造プロセスはリソグラフィーを含む。別の実施態様において、一つ又は複数の製造プロセスはエッチングを含む。追加の実施態様において、一つ又は複数の製造プロセスは化学機械研磨を含む。更なる実施態様において、一つ又は複数の製造プロセスは製膜を含む。
【0016】
幾つかの実施態様において、生成するステップは、一つ又は複数のシミュレーションの結果に基づき、初期計測学的ターゲット構造設計が、一つ又は複数の製造プロセスと適合性があるか否かを決定することを含む。別の実施態様において、生成するステップは、一つ又は複数の初期計測学的ターゲット構造設計が、ウエハ上の計測学的ターゲット構造を測定するために使用される一つ又は複数の製造プロセスと適合性があるか否かを決定することを含む。
【0017】
上に記載される方法の個々のステップは、ここに更に記載される如く実施可能である。更に、上に記載される方法の実施態様の個々は、記載される任意の他の如何なる方法の任意の他の如何なるステップをも含み得る。更に、上に記載される方法の実施態様の個々は、記載される任意のシステムにより実施可能である。
【0018】
他の実施態様は、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法を実行するためのコンピュータ・システム上で実施可能なプログラム命令を備えることを特徴とするキャリア媒体に関する。コンピュータ実施方法は、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。また本方法は、該シミュレーションの結果に基づき計測学的ターゲット構造設計を生成することを含む。
【0019】
上に記載されるキャリア媒体は、明細書で更に記載される如く設定可能である。コンピュータ実施方法のステップは、ここに更に記載される如く実行可能である。更に、プログラム命令が実行可能であるコンピュータ実施方法は、記載される任意の他の如何なる方法の任意の他の如何なるステップをも含み得る。
【0020】
更なる実施態様は、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するように設定されたシステムに関する。本システムは、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートするように設定されたシミュレーション・エンジンを備える。また本システムは、シミュレーション・エンジンの出力に基づき計測学的ターゲット構造設計を生成するように設定されたコンピュータ・システムを備える。本システムは、記載される如く更に設定可能である。
【発明を実施するための最良の形態】
【0021】
本発明の更なる目的と利点は、以下の詳細な記述と添付された図を参照することで明らかになるであろう。
図1は、レチクル・レイアウトに関する計測学的ターゲット構造設計のためのコンピュータ実施方法の一実施態様の結果の一例を示すプロットである。
図2は、レチクル・レイアウトに関する計測学的ターゲット構造設計のためのコンピュータ実施方法を実施するためにコンピュータ・システム上で実施可能なプログラム命令を含むキャリア・メディアの一実施態様と、レチクル・レイアウトに関する計測学的ターゲットを生成するために設定されたシステムの一実施態様を示すブロック・ダイアグラムである。
【0022】
本発明は様々な修正と変更が可能であるが、図を用いることで特定の実施形態が示され、ここに詳細に記述される。しかしながら、ここに示される図と特定の記述は発明を開示された特定の形式に制限することを意図したものでなく、逆に、添付された請求項により定義される本発明の精神と展望の範囲内で全ての修正、同等物、変更を網羅することを意図したものである。
【0023】
用語「レチクル」と「フォトマスク」はここで、相互互換的に用いられる。レチクルは一般的に、ガラス、ホウケイ酸ガラス、溶融石英などの透明な材料を有し、不透明な材料を上に形成する。不透明な領域は透明な基板にまでエッチングされた領域により置換可能である。この分野では数多くのレチクルが知られており、用語「レチクル」はあらゆる種類のレチクルを包含することを意図している。
【0024】
ここで用いられたように、用語「ウエハ」は一般に半導体材料又は非半導体材料を意味する。半導体材料又は非半導体材料の材料の例としては、以下に限定されないが、単結晶シリコン、ヒ化ガリウム、リン化インジウムなどがある。これらの基板は半導体製造工場で一般に見出され、及び/又は、処理されるものである。ウエハは基板上に形成された一つ又は複数の層を含み得る。例えば、係る層として、以下に限定されないが、レジスト、誘電体材料、導電材料などがある。本分野において、このような層の多くの種類が知られており、ここで用いられた用語「ウエハ」はそのような層のあらゆる種を有するウエハを包含することを意図している。
【0025】
ウエハ上に形成された一つ又は複数の層はパターン化されたものであっても、又はパターン化されてないものであってもよい。例えば、ウエハは複数のダイを有し、個々のダイは繰り返されるパターンのフィーチャを有する。このような材料の層を形成し処理することは、完成されたデバイスを最終的にもたらす。ウエハ上には多くの異なる種類のデバイスを形成可能であり、用語ウエハは、この分野において公知の任意のデバイスが製造されたウエハを包含することを意図している。
【0026】
ここでは様々な実施形態が、計測学的ターゲットに関して、記載されるが、記載される実施形態の全ては、他の任意のタイプの計測学的ターゲットと同様に、オーバーレイ・ターゲットやアラインメント・ターゲットに使用されることに留意されたい。換言すれば、ここで用語「計測学的ターゲット構造」は、ウエハ上で実施される一つ又は複数のプロセスの監視、及び/又は、制御に使用される任意の構造として、一般に定義される。例えば、「計測学的ターゲット構造」は、オーバーレイ、アラインメント、限界寸法など、又はこれらの幾つかの組み合わせなどのウエハ上で実施される一つ又は複数のプロセスの一つ又は複数のパラメータを監視、及び/又は、制御するのに使用される任意の構造を含む。
【0027】
記載される実施形態は、一般に、計測学的ターゲット構造を設計し、試験レチクルや製品レチクルに挿入するプロセスに関する。計測学的ターゲット構造が、設計のスクライブ・ライン領域から設計のデバイス領域に移行するに従い、計測学的ターゲット構造を設計し、試験レチクルと製品レチクルに挿入することは、より複雑になりつつあり、大規模なプロセス統合化とリソグラフィーの専門的知識を要求する。しかしながら、記載される実施形態は、設計プロセスの自動化に有効に使用可能である。例えば、幾つかの実施形態において、記載されるコンピュータ実施方法の実施形態の全てのステップは(例えば、更に記載される如く、コンピュータ・システム上で実施可能なプログラム命令、又はシステムにより)自動的に実行される。更に、記載される実施形態は、設計プロセスに要求される設計サイクルの数を効率的に削減し、設計プロセスを自動化し、従って、計測学的ターゲット構造設計と挿入を実施する計測学技術者に要求される仕事の負担と専門性の程度を低減する。
【0028】
一実施形態は、レチクル・レイアウトに関し計測学的ターゲット構造設計を生成するためのコンピュータ実施方法に関する。本方法は、製品レチクル又は試験レチクルのためのレイアウトに関する計測学的ターゲット構造設計の生成を含む。ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと一つ又は複数の初期計測学的ターゲット構造設計とに基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。
【0029】
一つ又は複数の初期計測学的ターゲット構造設計が、数多くの異なる方法により選択、及び/又は、生成可能である。例えば、記載される実施形態のユーザーは、コンピュータ実施方法により推奨される一つ又は複数の初期計測学的ターゲット構造の幾つか、又は、全てを受容するか、初期計測学的ターゲット構造設計のセット(例えばリスト)より、一つ又は複数の初期計測学的ターゲット構造を選択する。従って、本コンピュータ実施方法の全てのステップは自動的に実行されるが、記載されるコンピュータ実施方法の一つ又は複数の実施形態を実行するように設定されたソフトウェア、及び/又は、ハードウェアのユーザーは、以下のステップの一つ又は複数を実施可能である。更に、ユーザーは、記載される実施形態からの介助を伴うステップ(例えば、コンピュータ実施方法のユーザー介助ステップ)の一つ又は複数を実行可能である。
【0030】
初期計測学的ターゲット構造設計は、過去に使用された計測学的ターゲット構造設計を含む。更に、初期計測学的ターゲット構造設計は、記載される実施形態から選択可能である。例えば、レチクル・レイアウト、ウエハ上で計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセス、ウエハ上で計測学的ターゲット構造の一つ又は複数の特性を測定するために使用される一つ又は複数の計測学的プロセス、又はこれらの幾つかの組み合わせに関する情報に基づき、本実施形態は、過去に用いられた計測学的ターゲット構造設計の内のどれが、レチクル・レイアウトに関し適切であるか否かを決定するルールを使用可能である。このようにして、本方法は、記載される実施形態での使用に関し、一つ又は複数の初期計測学的ターゲット構造設計を選択するためのプロセス・レイヤー・ガイドラインを使用可能である。このような一例において、レチクル・レイアウトが記録デバイス構造を含む場合、記載される実施形態は、過去に記録デバイス製造に使用された計測学的ターゲット構造設計を選択するだろうが、おそらく、過去に論理デバイス設計のみに使用された計測学的ターゲット構造設計は選択しないであろう。このような一つ又は複数の初期計測学的ターゲット構造設計の選択は、任意の適切な方法において、コンピュータ実施方法により実施可能である。
【0031】
また本方法は、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。例えば、一実施形態において、本発明は、ウエハ上で計測学的ターゲット構造を測定するために使用される一つ又は複数の計測学的プロセスに基づき、一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることにより、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。このようにして、一つ又は複数の計測学的シミュレーションの結果を用い、初期計測学的ターゲット構造設計が生成可能である。このようなシミュレーションは、ここに更に記載される如く実行可能であるが、一つ又は複数の初期計測学的ターゲット構造設計を生成するために、計測学的プロセスのモデルへの入力は、例えば、望ましい計測結果であり、出力は、このような結果を生成し得る一つ又は複数の初期計測学的ターゲット構造設計である。このステップでシミュレートされる測定は、この分野で公知の任意の適切な測定を含むことができる。更に、一つ又は複数の計測学的プロセスは、公知の任意の適切な計測学的プロセスを含む。
【0032】
他の実施形態において、本方法は、一つ又は複数の製造プロセスを記述する一つ又は複数のパラメータに基づき、選択されたルールを用い、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。このようにして、本方法は、記載された実施形態での使用に関し、一つ又は複数の初期計測学的ターゲット構造設計を生成するためのプロセス・レイヤー・ガイドラインを使用可能である。例えば、初期計測学的ターゲット構造設計は、リソグラフィー、又はフォトリソグラフィー・プロセス(そして潜在的に他の製造プロセス)を記述するパラメータに応じて変化し得るルールを用いて生成可能である。このような一例において、一つ又は複数の製造プロセスにより印刷されるフィーチャの最小の限界寸法(CD)といった一つ又は複数の製造プロセスのパラメータに基づいて、ルールが定義される。この例において、ルールは、一つ又は複数の初期計測学的ターゲット構造設計のCDを、一つ又は複数の製造プロセスにより印刷可能な最小のCDよりも大きなCDに制限するルールを含む。ルールは、一つ又は複数の製造プロセスの任意の他のパラメータに依存して変化する他のルールを含む。
【0033】
更なる実施形態において、本方法は、ウエハ上の計測学的ターゲット構造の測定に使用される一つ又は複数の計測学的プロセスを記述する一つ又は複数のパラメータに基づき選択されるルールを用い、一つ又は複数の初期計測学的ターゲット構造設計を生成することを含む。このため、初期計測学的ターゲット構造設計は、特定の計測学的システムを記述するパラメータに依存して変化し得るルールを用いて、生成可能である。例えば、ルールは、一つ又は複数の計測学的プロセスにより測定可能なウエハ上に形成されるフィーチャの最小のCDといったパラメータに基づき定義される。このような一例において、ルールは、一つ又は複数の初期計測学的ターゲット構造設計のCDを、一つ又は複数の計測学的プロセスにより測定できる最小のCDよりも大きなCDへ制限するルールを含む。更に、初期計測学的ターゲット構造設計は、リソグラフィー又はフォトリソグラフィー・プロセス、他の製造プロセス、そして特定の計測学的システムを記述するパラメータに依存して変化するルールを用いて生成可能である。
【0034】
記載されるシミュレーションの実行に先立ち、一つ又は複数の初期計測学的ターゲット構造設計を用いて数多くのステップが実行される。例えば、本方法は、記載されるシミュレーションへの入力に関し、一つ又は複数の初期計測学的ターゲット構造設計を、グラフィカル・データ・ストリーム(GDS)フォーマット、又は、任意の適切な他のフォーマットへ変換することを含む。更に、本方法は、一つ又は複数の初期計測学的ターゲット構造設計が違反の原因となっているか否かを決定するために、光近接効果補正(OPC)機能に関するコンピュータ支援設計(CAD)ツールを通じて、一つ又は複数の初期計測学的ターゲット構造設計を実行することを含む。一つ又は複数の初期計測学的ターゲット構造設計が違反の原因となっている場合、一つ又は複数の初期計測学的ターゲット構造設計は変更され、一つ又は複数の初期計測学的ターゲット構造設計が違反の原因とならないまで、上に記載されるステップが実行される。
【0035】
一つ又は複数の初期計測学的ターゲット構造設計を、一つ又は複数のプロセス・シミュレーションへ入力可能である。一実施形態において、一つ又は複数の製造プロセスは、リソグラフィーを含む。例えば、本方法は、ウエハ・レベルで印刷された場合、一つ又は複数の初期計測学的ターゲット構造設計がどのように現れるかを予測するために、ウエハ・シミュレーション・モデル、又は「リソグラフィー・プロセス・モデル」を使用可能である。ウエハ・シミュレーション・モデルは、レジスト、及び/又は、ウエハ上に形成される一つ又は複数の追加の層と同様に、リソグラフィー・プロセスにおける変動を説明するパラメータを含む。本モデルの一つ又は複数のパラメータは、例えば、レジストの厚さ、レジストの組成、レジスト層の下、及び/又は、上のウエハ上に形成された層の一つ又は複数の特徴(例えば、厚さと組成)、露光波長、露光装置の開口数(NA)、露光装置のコヒーレンス、露光量、露光の焦点などを含む。
【0036】
またユーザーは、設計されている計測学的ターゲット構造に関するプロセス・レイヤーをシミュレータが示すように、リソグラフィー又はフォトリソグラフィー・シミュレータを設定可能である。例えば、ユーザーは、リソグラフィー又はフォトリソグラフィー・プロセスを記述するモデルの一つ又は複数の変数に関する値を、選択可能である。モデルのパラメータは、記載される任意のパラメータを含み得る。
【0037】
シミュレーション・ステップの結果は、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかを示すイメージ、又は、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかを示す任意の他の出力を含む。
【0038】
本方法は、シミュレーション・ステップの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計が、一つ又は複数の製造プロセスと適合性があるか否かを決定することを含む。例えば、本方法は、ウエハ上の一つ又は複数の初期計測学的ターゲット構造設計の印刷可能度(例えば、リソグラフィーの印刷可能度)を決定することを含む。例えば、一つ又は複数の初期計測学的ターゲット構造設計の印刷可能度を決定することは、シミュレートされたイメージを、印刷された初期計測学的ターゲット構造の理想的なイメージに比較することを含む。理想的なイメージは、初期計測学的ターゲット構造設計より直接生成可能であり、計測学技術者が初期計測学的ターゲット構造がウエハ上にどのように形成されるを望むかを一般的に示すものである。或いは、シミュレートされたイメージは、初期設計それ自体に直接比較される。
【0039】
また、或いは、シミュレートされたイメージを、理想的なイメージに比較することは、シミュレートされたイメージの一つ又は複数の特徴を、理想的なイメージに比較することを含む。例えば、このような特徴は、CD、側壁の角度、プロファイル、個々のフィーチャの端部の配置、イメージに関する他の同等な特徴、或いはこれらの幾つかの組み合わせを含む。シミュレートされたイメージのこのような特徴は、一つ又は複数のアルゴリズム、及び/又は、方法(例えば、イメージに準拠した計測学的プロセスで一般に使用されるイメージ・プロセス・アルゴリズム)を用いて決定される。更に、ウエハに形成される初期計測学的ターゲット構造の一つ又は複数の特徴は、シミュレーション結果より決定され、一つ又は複数の初期計測学的ターゲット構造設計の一つ又は複数の特徴と比較される。このような比較は、記載される任意の特徴を比較することを含む。
【0040】
上に記載される任意の比較ステップにより認識される差は、一つ又は複数の初期計測学的ターゲット構造設計が、ウエハ上で印刷可能であるか否かを決定し、初期計測学的ターゲット構造設計が、ウエハ上でどの程度良く印刷されるかを決定するのに使用可能である。例えば、初期計測学的ターゲット構造設計に関するシミュレーション結果が、設計それ自体とさほど異ならない場合、シミュレーション結果は、設計から大きく異なる初期計測学的ターゲット構造設計に比べ、より印刷可能であると決定される。より印刷可能である初期計測学的ターゲット構造は、リソグラフィー・プロセスに対し、より適合性がある。同様にして、リソグラフィー・プロセスに対する初期計測学的ターゲット構造の適合性は、初期計測学的ターゲット構造設計の個々のシミュレーション結果が、どの程度対応する設計に合致するかに基づき決定される。
【0041】
一実施形態において、シミュレーション・ステップは、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値において、一つ又は複数の初期計測学的ターゲット構造がどのようにウエハ上に形成されるかをシミュレートすることを含む。例えば、上に記載されるシミュレーションは、リソグラフィー・プロセスに関して、最適な焦点と露光条件下で実行され、及び/又は、「プロセス・ウィンドウ」と一般に呼称される、予期されるドーズ/焦点の全範囲というような焦点と露光条件に関する値のある範囲内で実行される。このようにして、一実施形態において、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように生成されるかをシミュレートすることは、異なるプロセス・パラメータにおいて、リソグラフィー・プロセスにより、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように印刷されるかを示すシミュレーション・イメージを生成することを含む。異なるプロセス・パラメータは、異なるタイプのリソグラフィー露光ツール、及び/又は、記載される一つ又は複数の他の変数を含む。
【0042】
多重ウエハ・シミュレーション・モデルは、プロセス・パラメータの異なる値において、上に記載されるシミュレーションを実行するのに使用可能である。例えば、ウエハ・シミュレーション・モデルの一つは、公知の最適な焦点と露光条件を含む。他のウエハ・シミュレーション・モデルは、一つ又は複数の製造プロセスを用い、ウエハ上に形成されるデバイス構造に関して、プロセス・ウィンドウの範囲内で予期される他のドーズ/焦点条件を含む。一つ又は複数の初期計測学的ターゲット構造設計の印刷可能度は、実行される個々のシミュレーションに関して決定され、その結果は、一つ又は複数の初期計測学的ターゲット構造設計に関するおおよそのプロセス・ウィンドウを決定するために使用可能である。
【0043】
幾つかの実施形態において、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることは、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートするために、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかをシミュレートすることと、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかをシミュレートすることの結果を用いることとを含む。このようにして、一つ又は複数の製造プロセスは、レチクル製造とリソグラフィー(ウエハ・リソグラフィー)を含む。一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかをシミュレートすることは、レチクル製造プロセスのモデルへの入力として、一つ又は複数の初期計測学的ターゲット構造設計を用いることにより実行可能である。
【0044】
このような実施形態において、一つ又は複数の初期計測学的ターゲット構造設計は、MEBES jobdeck、GDSII file、OASIS data、或いは任意の他の標準ファイル・タイプの形態である。本方法は、シミュレーションの実行以前に、任意のマスク・プロセシング・バイアスを設計に適用することで、初期計測学的ターゲット構造設計を変更することを含む。マスク・プロセシング・バイアスは、レチクル・フィーチャの特性に関し、レチクル製造プロセスの公知の影響を取り入れるため、マスク製造業者により通常実行される設計変更である。例えば、エッチング工程によりレチクル上に形成されるフィーチャのサイズの減少を考慮し、製造以前に、設計のフィーチャのサイズを往々にしてマスク業者は増加させることがある。このため、適正化されたサイズでの設計を用い、レチクル製造プロセスのシミュレーションが実行可能である。
【0045】
このような一例において、本方法は、レチクル製造シミュレーション・モデル、又は「レチクル製造プロセス・モデル」を含むことが可能であり、これらは、レチクル・レベルで印刷された場合、一つ又は複数の初期計測学的ターゲット構造がどのように現れるかを予測するように設定される。レチクル製造シミュレーション・モデルは、この分野で公知の任意の適切なモデルを含む。レチクル製造シミュレーション・モデルは、レチクル上に形成されたレジスト、及び/又は、一つ又は複数の追加の層と同様に、レチクル製造プロセスの変動を説明するパラメータを含む。例えば、モデルは、レチクル製造に用いられるマスク書き込みツールのタイプ、マスク書き込みツールの特徴、レチクル製造に用いられるレジストの特徴といったレチクル製造プロセスの数多くのパラメータを考慮可能である。更に、ユーザーは、ここに更に記載される如く実施可能な、レチクル上の一つ又は複数の初期計測学的ターゲット構造の製造に用いられるプロセスを記述するモデルの一つ又は複数のパラメータを選択可能である。
【0046】
また本方法は、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかをシミュレートするために、多重マスク製造モデルを使用することを含む。多重マスク製造モデルは、完全に異なるプロセス、又は、異なるプロセス・パラメータを有するが同等であるプロセスをシミュレートするように設定可能である。例えば、異なるプロセス・パラメータは、露光量と焦点、マスク書き込みツールの異なるタイプ、レジストの異なるタイプ、エッチング化学、そして記載される他のパラメータを含む。多重マスク製造モデルは、レチクル製造プロセスの一つ又は複数のパラメータを変更することにより生成可能であり、一つ又は複数の異なるレチクル製造プロセスが生成される。異なるレチクル製造プロセスの個々に関して、モデルが生成される。個々のモデルは、記載される如くシミュレーションを実行するために使用可能である。このアプローチにより、ユーザーは、異なるマスク書き込みツール、又はプロセスを用いた場合、一つ又は複数の初期計測学的ターゲット構造がどのように現れるかをシミュレート可能であり、そして最適な計測学的ターゲット構造設計が、更に記載される如く、個々のプロセスに関して選択される。
【0047】
レチクル製造に関して実行されるシミュレーションの結果は、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかを示す一つ又は複数のイメージ、及び/又は、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかを示す任意の他の出力を含むことが可能である。
【0048】
上に記載される如く、一つ又は複数の初期計測学的ターゲット構造のレチクル印刷可能度が決定される。この場合、印刷可能度は、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように精確に印刷されるかの尺度である。例えば、レチクル製造プロセスに関するシミュレーションの結果は、一つ又は複数の初期計測学的ターゲット構造設計と比較可能であり、そして、一つ又は複数の初期計測学的ターゲット構造設計とシミュレート結果の差異(例えば、欠落したフィーチャ、大きすぎるフィーチャ、変形したフィーチャなど)は、比較結果を用いて認識される。追加例において、一つ又は複数の初期計測学的ターゲット構造が、レチクル上にどのように生成されるかをシミュレートする結果の一つ又は複数の特徴が決定され、そして、シミュレーション結果と初期設計の差異を決定するために、これらの特徴が、一つ又は複数の初期計測学的ターゲット構造設計の一つ又は複数の特徴と比較される。
【0049】
本方法は、リソグラフィー・プロセスにより、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように印刷されるかを予期するシミュレーションへの入力として、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように形成されるかをシミュレートする結果を使用可能である。従って、シミュレーションは、一つ又は複数の初期計測学的ターゲット構造がレチクル上にどのように作られるかに基づきシミュレーションが実行され、ウエハにより「見られる」ため、記載される如く実行されるシミュレーションは、現在利用可能なあらゆる他の方法を用いたシミュレーションよりも、より精確である。
【0050】
上に記載される一つ又は複数の製造プロセスは、リソグラフィーを含むが、本方法は、他の製造プロセスにも適用可能であることに留意されたい。例えば、幾つかの実施形態において、一つ又は複数の製造プロセスは、エッチング、化学的機械研磨(CMP)、製膜、又はこれら幾つかの組み合わせを含む。従って、記載される実施例は、リソグラフィー、又はフォトリソグラフィーと同様に、エッチング、CMP,製膜など、ウエハ上の計測学的ターゲット構造を製造するのに用いられる他のプロセスを含む。例えば、製造中に、いつ計測学的ターゲット構造が測定されるか(例えば、どのプロセス後に、計測学的ターゲット構造が測定されるか)に応じて、ユーザー、又はコンピュータ実施方法は、実行されるべきシミュレーション、ウエハの製造に用いられるプロセスを記述するモデルの一つ又は複数のパラメータ、さらにレチクル・レイアウトに関し計測学的ターゲット構造設計を選択又は生成するのに用いられるシミュレーション結果を選択可能である。
【0051】
このような例において、本方法は、エッチング・プロセス・モデルへの入力として、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように印刷れるかを示すシミュレーション結果を用いて、一つ又は複数の初期計測学的ターゲット構造設計のエッチング可能度を決定するのに使用可能である。エッチング・プロセス・モデルは、この分野で公知の任意の適切なエッチング・モデルを含む。更に、エッチング・プロセス・モデルは、記載される如く(実験データを用い)生成、及び/又は、更新可能である。
【0052】
このようにして、本実施形態(例えば、計測学的ターゲット構造設計の最適化)は、ウエハ上で初期計測学的ターゲット構造を形成するために使用されるプロセス(例えば、プロセスの全て、又は一部)のシミュレーションに基づき、ウエハ上での様々な初期計測学的ターゲット構造設計の印刷可能度を評価可能である。このため、記載される実施形態は、ウエハ上で計測学的ターゲット構造を製造するために使用されるプロセスの一部、又は全てと適合性のある最適化された計測学的ターゲット構造設計を生成、又は選択するために有効に使用される。
【0053】
また、記載される実施形態は、製造された計測学的ターゲット構造と計測学的測定プロセスの間の相互作用を考慮することを含む。例えば、一実施形態において、本方法は、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成され、さらに、ウエハ上の計測学的ターゲット構造を測定するために、一つ又は複数の計測プロセスがどのように使用されるかをシミュレートする結果に基づき、ウエハ上に形成される一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることを含む。
【0054】
このような一実施形態において、ユーザーは、計測学的ターゲット構造の一つ又は複数のフィーチャを測定するために使用される計測学的システムを(ソフトウェアより)選択可能である。例えば、様々な計測学的システムのパラメータは、使用前に(例えば、設定中に)、記載される実施形態に入力可能である。異なる計測学的システムのパラメータの個々の組には、アイデンティティ(例えば、名前)が課され、選択肢として、計測学的ターゲット構造を測定するために使用可能な計測学的システムの様々なアイデンティティが、ユーザーに示される。従って、計測学的システム・アイデンティティの設定に際し、計測学的ターゲット構造を測定するために使用される計測学的プロセスに関するモデルへ、適切なパラメータを「ロード」可能である。計測学的プロセスに関するモデルは、任意の適切な設定を有し、計測学的プロセスに要求されるデータ取得とデータ処理のためのパラメータを有することが可能である。
【0055】
計測学的システムは、この分野で公知の任意の計測学的システムを含み、そして計測学的システムの多くの異なるタイプが、計測学的ターゲット構造の測定に際して、製造工場で使用可能である。計測学的ターゲット構造の一つ又は複数の特徴は、計測学的ターゲット構造の任意の測定可能な特徴を含む。更に、ウエハ上に形成された計測学的ターゲット構造を測定するために、(例えば、一つ又は複数の計測学的システムを用いて)二つ以上の計測学的プロセスが使用される場合、記載される実施形態は、計測学的ターゲット構造上で実施される全ての計測学的プロセスに関して、及び、計測学的プロセスを実施するために使用される全ての計測学的システムに関して実施される。
【0056】
一つ又は複数の初期計測学的ターゲット構造設計の測定をシミュレートすることは、記載される如く、計測学的プロセスと計測学的ツールを記述するモデルへの入力として生成可能な、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートした結果を用いることを含む。例えば、本方法により使用されるソフトウェアは、ウエハ上に形成される初期計測学的ターゲット構造を、どのように計測学的ルールが「見る」かをシミュレートする計測学的モデルを含む。このようにして、測定をシミュレートすることは、初期計測学的ターゲット構造の測定により生成されるおおよその出力を(例えば、計測学的システムの検知器により)決定することを含む。測定により生成されるおおよその出力は、データ・プロセシング・アルゴリズム、及び/又は、計測学的プロセスにより決定される初期計測学的ターゲット構造の一つ又は複数の特徴を予測する方法で使用される。
【0057】
また、記載される実施形態は、一つ又は複数の初期計測学的ターゲット構造設計が、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスと、適合性があるか否かを決定することを含む。例えば、記載される実施形態は、ウエハ上の計測学的ターゲット構造の測定に用いられる計測学的プロセスのシミュレーションに基づき、様々な初期計測学的ターゲット構造設計の測定可能度を決定することを含む。このような一例において、本方法は、計測学的プロセスと計測学的システムにより測定されるものとして選択された初期計測学的ターゲット構造設計の一つ又は複数の特徴が、実際に、計測学的システムにより計測学的プロセス内で測定可能であるか否かを決定することを含む。特に、測定のシミュレーションの結果は、計測学的システムの予想されるおおよその出力に基づき、一つ又は複数の特徴を、計測学的システムが決定できるかを判断するのに使用可能である。
【0058】
このような比較の結果は、初期計測学的ターゲット構造の一つ又は複数のフィーチャが、計測学的プロセスにより、どの程度精確に決定されるかを判断するために使用可能である。一つ又は複数の初期プロセスに対する初期計測学的ターゲット構造設計の適合性を決定することは、また、計測学的プロセスにより決定されると予期される初期計測学的ターゲット構造の一つ又は複数の特徴を、ウエハ上に形成されると予期される初期計測学的ターゲット構造の一つ又は複数の特徴に、及び/又は、初期計測学的ターゲット構造設計の一つ又は複数の特徴に比較することを含む。
【0059】
本方法が、計測学的プロセスを用いる計測学的ツールにより、一つ又は複数の特徴を測定できないと判断した場合、本方法は、計測学的プロセスを用いる計測学的ツールにより測定可能となる計測学的ターゲット構造設計が製造されるまで、一つ又は複数の初期計測学的ターゲット構造設計を変更して上に記載されるシミュレーションを実行することを含む。また、これらのステップは、本方法が、計測学的プロセスを用いる計測学的ツールにより、初期計測学的ターゲット構造の一つ又は複数の特徴が精確に測定できないかを決定するために実行可能である。
【0060】
従って、記載される方法は、レチクルの製造可能度、ウエハの印刷可能度、及び/又は、初期計測学的ターゲット構造設計の設計可能度の決定に使用可能である。更に、レチクルの製造可能度、及び/又は、初期設計のウエハ印刷可能度を改善するために、上に記載される如く、初期計測学的ターゲット構造設計が変更されるたびに、変更された設計の測定可能度が決定される。或いは、初期計測学的ターゲット構造設計の測定可能度は、レチクル製造とウエハ印刷プロセスと適合性がある初期計測学的ターゲット構造設計に関してのみ決定される。従って、測定可能度の決定以前に、レチクル製造とウエハ印刷プロセスに関する適合性を実現するため、一つ又は複数の初期計測学的ターゲット構造設計は数回変更される場合がある。このようにして、本方法は、一つ又は複数の製造プロセスと一つ又は複数の計測学的プロセスの両者に関して、一つ又は複数の初期計測学的ターゲット構造設計が適合するかを決定すること、を含む。
【0061】
ここに更に記載される如く、一つ又は複数の製造プロセスのシミュレーションは、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値に関して実行可能である。更に、一つ又は複数の初期計測学的ターゲット構造設計の測定可能度は、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値に関して実行される個々のシミュレーションの結果に関して決定される。このようにして、一つ又は複数の初期計測学的ターゲット構造設計の測定可能度は、異なる値に亘り決定され、一つ又は複数の製造プロセス変更の一つ又は複数のパラメータの値の変化(例えば、プロセス・ウィンドウ内でのドリフト)に伴い、一つ又は複数の初期計測学的ターゲット構造設計の測定可能度が変化するかを決定するのに使用される。
【0062】
記載される方法は、計測学的エンジニアの意図を反映するようにレチクル上に計測学的ターゲット構造が書き込まれるか、更には、計測学的エンジニアの意図を反映するように、計測学的ターゲット構造が、ウエハ・レベルで印刷され、適切なパターンをウエハ上にもたらすかを決定するために、好ましく使用可能である。従って、記載される方法は、レチクル・レベルで初期計測学的ターゲット構造設計が製造可能であるか、更には、ウエハ・レベルへの移管が可能であるか否かを決定するために使用可能である。また、記載される方法は、適切な計測学的情報を与えるために、ウエハ・レベルで初期計測学的ターゲット構造設計が測定可能であるか否かを決定するために使用可能である。このため、記載される方法は、レチクル・レベルで計測学的ターゲット構造が製造可能であるか、ウエハ・レベルへ移管可能であるか、そしてウエハ・レベルで測定可能であるかを決定するために使用可能である。従って、記載される方法は、マスク製造から、任意の計測学的ターゲット構造設計に関する計測学的と計測学的プロセスに亘り適用できるという概念において、少なくとも独自なものである。
【0063】
また本方法は、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートする結果に基づき、計測学的ターゲット構造設計を生成することを含む。更に、上に記載される如く、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることは、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値において、一つ又は複数の計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。
【0064】
図1に、リソグラフィーに関するこのようなシミュレーションの結果の一例を示す。図1に示されるシミュレーション・サンプル点10は、シミュレーションが実行されるリソグラフィー・プロセスの一つ又は複数のパラメータの異なる値を示している。シミュレーションは、異なる値と、個々のフィーチャのタイプが同じであるが、異なるフィーチャ寸法(例えば、ターゲット寸法1(TD1)、TD2、TD3、TD4)を有する、同じタイプの初期計測学的ターゲット構造設計に関して実行される。シミュレーションの結果は、異なるフィーチャ寸法TD1、TD2、TD3、TD4を有する初期計測学的ターゲット構造設計が、記載される如く実行されるリソグラフィー・プロセスに関する限界を示す「アドホック」の制約を満たすか否かを決定するために使用可能である。
【0065】
一実施形態において、生成するステップは、シミュレーション・ステップの結果に基づいて、一つ又は複数の初期計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定することと、生成された計測学的ターゲット構造設計として、最も大きなプロセス・ウィンドウを有する初期計測学的ターゲット構造設計を選択することとを含む。例えば、図1に示されるTD1、TD2、TD3、TD4のプロットはBossungプロットであるが、これらは上に記載される様々なフィーチャ寸法を有する初期計測学的ターゲット構造のプロセス・ウィンドウを示す。初期計測学的ターゲット構造設計に関するプロセス・ウィンドウは、記載される如く(例えば、プロセス・パラメータの異なる値における設計の印刷可能度に基づき)決定可能である。従って、図1のBossungプロットは、どの初期計測学的ターゲット構造設計が、最も大きなプロセス・ウィンドウを有するかを決定するためと比較される。このようにして、生成された計測学的ターゲット構造設計として、最も大きなプロセス・ウィンドウを有する計測学的ターゲット構造設計(この例では、フィーチャ寸法TD1を有する初期計測学的ターゲット構造設計)を選択可能である。
【0066】
他の実施形態において、生成するステップは、シミュレーション・ステップの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定すること、一つ又は複数の初期計測学的ターゲット構造設計に関するプロセス・ウィンドウを、一つ又は複数の製造プロセスを用いウエハ上に形成されるデバイス構造に関するプロセス・ウィンドウに比較すること、そしてデバイス構造に関するプロセス・ウィンドウよりも大きなプロセス・ウィンドウを有する一つ又は複数の初期計測学的ターゲット構造設計に基づき、計測学的ターゲット構造設計を選択することを含む。一つ又は複数の初期計測学的ターゲット構造設計のプロセス・ウィンドウは、上に記載される如く決定される。更に、デバイス構造に関するプロセス・ウィンドウは、この分野で公知の任意の方法により決定される。
【0067】
様々な初期計測学的ターゲット構造設計のプロセス・ウィンドウは、任意の適切な方法で、デバイスプロセス・ウィンドウに比較され得る。図1の例において、「デバイス構造」と表示されたプロットは、デバイス構造(例えば、コンタクト・ホール)のプロセス・ウィンドウを示すBossungプロットである。このため、初期計測学的ターゲット構造設計とデバイス構造に関するBossungプロットを比較することにより、一つ又は複数の初期計測学的ターゲット構造設計に関するプロセス・ウィンドウを、デバイス構造に関するプロセス・ウィンドウに比較可能である。このようにして、生成された、及び/又は、最適化された計測学的ターゲット構造設計として、デバイス構造のプロセス・ウィンドウに等しいか、より大きいプロセス・ウィンドウを有する初期計測学的ターゲット構造設計が選択される。例えば、図1に示される如く、フィーチャ寸法TD1、TD2、TD3を有する初期計測学的ターゲット構造設計は、デバイス構造のプロセス・ウィンドウよりも大きなプロセス・ウィンドウを有する。対称的に、フィーチャ寸法TD4を有する初期計測学的ターゲット構造設計は、デバイス構造のプロセス・ウィンドウよりも小さなプロセス・ウィンドウを有する。このため、TD4を除くフィーチャ寸法TD1、TD2、TD3を有する一つ又は複数の初期計測学的ターゲット構造設計が、生成された計測学的ターゲット構造設計として選択される。このようにして、デバイス・プロセス・ウィンドウの範囲内のどこでプロセスが実行されるかに拘らず、計測学的ターゲット構造がウエハ上に印刷される。
【0068】
幾つかの実施形態において、生成するステップは、シミュレーション・ステップの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計が、一つ又は複数の製造プロセスと適合性があるか否かを決定することを含む。例えば、記載される如く、シミュレーション結果は、リソグラフィー・プロセスを用いて、一つ又は複数の初期計測学的ターゲット構造設計が印刷可能であるかを示すか、及び/又は、決定するために使用可能である。印刷可能であると決定された一つ又は複数の初期計測学的ターゲット構造設計は、リソグラフィー・プロセスと適合性があると決定される。一つ又は複数の初期計測学的ターゲット構造設計が、一つ又は複数の製造プロセスと適合性があるか否かを決定することは、また、記載される任意の他の情報に基づき決定される。例えば、初期計測学的ターゲット構造設計のプロセス・ウィンドウが、一つ又は複数の製造プロセスと適合性があるか否かを決定するために、一つ又は複数の初期計測学的ターゲット構造設計に関し決定されたプロセス・ウィンドウが、一つ又は複数の製造プロセスに関し最低限要求されるプロセス・ウィンドウ、デバイス構造に関するプロセス・ウィンドウ、一つ又は複数の製造プロセスの一つ又は複数のパラメータにおける典型的なドリフト、又は、これらの幾つかの組み合わせと比較される。レチクル・レイアウトに関する計測学的ターゲット構造設計は、一つ又は複数の製造プロセスと適合性があると決定された一つ又は複数の初期計測学的ターゲット構造設計に基づき生成可能である。例えば、レチクル・レイアウトに関する計測学的ターゲット構造設計の生成は、生成された設計として、一つ又は複数の製造プロセスと最も適合性がある初期計測学的ターゲット構造設計を選択することを含む。
【0069】
他の実施形態において、生成するステップは、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることと、測定をシミュレートすることの結果に基づき、計測学的ターゲット構造設計を生成することとを含む。これらのシミュレーションは、記載される如く実行可能である。計測学的ターゲット構造を生成することは、ここに更に記載されるシミュレーションの結果に基づき実行可能である。例えば、一実施形態において、生成するステップは、一つ又は複数の初期計測学的ターゲット構造設計が、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスに対し、適合性があるか否かを決定することを含む。このような一例において、記載される如く、シミュレーション結果は、一つ又は複数の計測学的プロセスを用い、一つ又は複数の初期計測学的ターゲット構造設計が測定可能であるかを示すか、及び/又は、決定するのに使用可能である。測定可能であると決定された一つ又は複数の初期計測学的ターゲット構造設計は、一つ又は複数の計測学的プロセスと適合性があると決定される。一つ又は複数の初期計測学的ターゲット構造設計が、一つ又は複数の計測学的プロセスと適合性があるか否かを決定することは、また、記載される任意の他の情報に基づき決定可能である。レチクル・レイアウトに関する計測学的ターゲット構造設計は、一つ又は複数の計測学的プロセスと適合性があると判断された、一つ又は複数の初期計測学的ターゲット構造設計に基づき、生成可能である。例えば、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成することは、生成された設計として、一つ又は複数の計測学的プロセスに対し、最も適合性がある初期計測学的ターゲット構造設計を選択することを含む。更に、生成するステップは、記載される如く決定可能な、最適なレチクル製造可能度、ウエハ印刷可能度、計測学的ターゲット構造に関する測定可能度を生成する初期計測学的ターゲット構造設計を選択することを含む。
【0070】
幾つかの実施形態において、生成するステップは、シミュレーション・ステップの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計の一つを最適化することを含む。例えば、記載される実施形態は、レチクル・レイアウト内の計測学的ターゲット構造の最適化に使用可能な一つのソフトウェア(又は、相互作用する二つ以上のソフトウェア)を含む。更に、リソグラフィー又はフォトリソグラフィー、及び/又は、計測学的プロセス、及び/又は、製造プロセスのシミュレーションは、記載される如く実行可能であり、ターゲットに関するレイアウトは、シミュレーションの結果に基づき最適化される。例えば、記載される実施形態は、測定可能である、もしくは、最も測定可能である最適化された計測学的ターゲット構造設計を、生成又は選択するために有効に使用される。
【0071】
幾つかの実施形態において、生成するステップは、シミュレーション・ステップの結果に、拘束を適用することを含む。拘束は、一つ又は複数の製造プロセスと、ウエハ上の計測学的ターゲット構造を測定するのに用いられる一つ又は複数の計測学的プロセスに関する制約を示す。更に、最適化に適用された拘束は、リソグラフィー又はフォトリソグラフィー、及び/又は、製造プロセス、及び/又は、計測学的プロセスの制約を示す場合がある。
【0072】
幾つかの実施形態において、初期計測学的ターゲット構造設計の一つを最適化することは、例えば、初期計測学的ターゲット構造設計の印刷可能度を改善するために、初期計測学的ターゲット構造設計に関するプロセス・ウィンドウを拡大するために、初期計測学的ターゲット構造設計の測定可能度を改善するために、あるいはこれらの幾つかの組み合わせのために、シミュレーション・ステップの結果に基づき、初期計測学的ターゲット構造設計を変更することを含む。このような変更は、任意の適切なアルゴリズム、規則、方法、又はこれらの幾つかの組み合わせにより、実行可能である。例えば、測定のシミュレーション結果により、一つ又は複数の初期計測学的ターゲット構造設計が測定可能でないと示された場合、リソグラフィー・プロセスに関するシミュレーション結果は、ウエハ上に形成されると予期される一つ又は複数の初期計測学的ターゲット構造のCDといった一つ又は複数の特徴が、測定に関する制約の範囲外にあるか否かを決定するために、計測学的プロセスに関する拘束に、比較される。このような比較に基づき、一つ又は複数の初期計測学的ターゲット構造設計の一つ又は複数の特徴が変更可能である。例えば、一つ又は複数の初期計測学的ターゲット構造設計に含まれる一つ又は複数のフィーチャのCDが、比較結果に基づき増減される。
【0073】
上に記載されるステップのいづれかにおける計測学的ターゲット構造設計を生成、及び/又は、最適化することは、計測学的ターゲット構造設計の任意のフィーチャ、及び/又は、特徴を生成、及び/又は、最適化することを含む。例えば、幾つかの実施形態において、計測学的ターゲット構造設計のフィーチャ、及び/又は、特徴を最適化することは、計測学的に関する計測学的ターゲット構造設計の一つ又は複数の光近接効果補正(OPC)の機能を生成、及び/又は、最適化することを含む。このような一実施例において、シミュレーション・ステップは、計測学的ターゲット構造設計に係る、解像度以下に対する(リソグラフィーではなく、計測学的)補助機能、空間、セリフ(serifs)、ハンマーヘッドなどの設計機能を最適化することを含む。このような最適化は、異なる層のフィーチャ間でのクロストーク削減に関して、計測学的のパフォーマンスを改善するために実行可能であり、特に、おおよそ15μmよりも小さな計測学的ターゲット構造に対し、より精確で精緻な計測学的をもたらすことにつながる。また、あるいは、このような最適化は、計測学的システム光学の部分的コヒーレンスに起因するイメージ内でのリンギング又は変調の減少に関する計測学的のパフォーマンスを改善するために実行可能であり、これは、計測学的ターゲット構造が比較的小さい場合に、また重要である。
【0074】
このため、コンピュータ実施方法の実施形態は、初期計測学的ターゲット構造設計の「自動」補正に関して設定される。例えば、記載される全てのプロセス・ステップ(例えば、レチクル書き込み、ウエハ・レベルへのパターン転写、計測学)に関し、初期計測学的ターゲット構造設計を設定し直し、所望のルールに対応し、従って、補正プロセスを自動化するように設定された自己相関ソフトウェアを生成可能である。一実施例において、この補正は、ユーザーの介入無しに、閉鎖ループ内で、ソフトウェアにより自動的に実行可能である。更に、設計が変更された後、上に記載される如く、変更された設計に関して追加のシミュレーションを実行可能であり、変更された設計の印刷可能度、及び/又は、測定可能度が決定される。
【0075】
記載されるコンピュータ実施方法は、コンピュータ・システム又はコンピュータのローカル・ネットワーク上で、或いは、ウエブに基づくインターネット接続などの遠隔接続を介して、操作されるソフトウェアを用いて実行可能である。このモードは、製造工場を所有せず、製造工場へ設計を送付する半導体会社にとって極めて有益である。製造工場へ設計を送付する以前に、設計を点検することで、エラーを見出し、修正することが可能であり、それ故、製造プロセスの費用とサイクル時間が大幅に削減される。
【0076】
幾つかの実施形態において、コンピュータ実施方法は、計測学的システム(即ち、計測学的ターゲット構造上で計測学的プロセスを実行するために使用される計測学的システム)に関するレシピの一つ又は複数のパラメータを選択すること(又は最適化すること)を含む。例えば、レシピのパラメータを選択することは、最適化プロセスの最中に変化されるパラメータの更なる組として、計測学的ターゲット構造設計の生成と同時に実行可能である。或いは、レシピのパラメータを選択することは、追加の最適化プロセスとして、計測学的ターゲット構造設計の生成後に引き続き実行可能である。いづれの場合においても、一般に「遠距離電磁界」パラメータと呼称される照射NA、スペクトル帯、焦点などのレシピ・パラメータは、計測学的ターゲット構造設計パラメータを最適化に用いられる電磁(EM)シミュレーションに比較し、大幅に計算負荷が少ない。従って、手短に述べれば、最適化された計測学的ターゲット構造設計を出力することに加え、本方法は最適化された計測学的システム・レシピを出力可能である。また計測学的レシピの最適化は、一般に2005年10月21日にWidmann等により出願された米国特許出願番号60/729,268号に記載される如く実行可能であり、この特許出願は、ここに詳述された参照文献として取り扱われる。
【0077】
一実施形態において、本方法は、レチクル・レイアウトに関する標準フォーマットで生成された計測学的ターゲット構造設計に関するレイアウトを保存することを含む。例えば、最適化の結果は、GDSIIファイルなどの標準フォーマット、及び/又は、記載される任意の他のファイル・タイプの形態で出力される計測学的ターゲット構造設計である。GDSIIファイルは、レチクルの製造に使用可能なレチクル・レイアウト・データを生成するために使用可能である。例えば、記載される実施形態は、CADレチクル設計ツールに接続可能である。本実施形態は、この分野で公知の任意の適切な方法により、CADレチクル設計ツールに接続可能である。このようにして、最適化されたGDSファイルの出力は、レチクルのダイ又はスクライブ線へ自動的に挿入される。このような、最適化されたGDSファイルの自動化された挿入は、一般に2004年6月1日にCohen等により出願された米国特許出願番号10/858,836号に記載される如く実行可能であり、この特許申請は、ここに詳述された参照文献として取り扱われる。更に、GDSIIファイルは、計測学的プロセス(例えば、計測学的レシピ)を生成するために使用可能である。例えば、GDSIIファイルは、計測学的ターゲット構造上で実行される測定のタイプ、及び/又は、ウエハ上の計測学的ターゲット構造の位置を示す。また最適化された計測学的ターゲット構造設計は、ユーザーへ提示され、ユーザーは、ターゲット・レイアウトを、GDSIIファイルなどの標準フォーマットへ保存できる。また生成された計測学的ターゲット構造設計は、過去に用いられた計測学的ターゲット構造設計のデータベースへ保存可能である。また、データベースは、過去の計測学的ターゲット構造設計を、記載された実施形態へ供給可能である。
【0078】
記載される実施形態は、計測学的ターゲット構造設計を生成するための他の方法とシステムに比べ、数多くの利点を有する。例えば、過去において、計測学的ターゲット構造の最適化は、試行錯誤と、過去の経験による「経験則」により実験的に実行されていた。特に、ここに使用されるプロセスは、計測学的ターゲット構造設計の推奨を生成することと、ターゲット設計の推奨をGDSIIフォーマットへ変換することろを含む。また本プロセスは、違反を避けるために、OPC機能に関するCADツールを通じ、GDSフォーマットで計測学的ターゲット設計を実行することを含む。また本プロセスは、レチクル上に計測学的ターゲット設計を印刷し、レチクルを用いてウエハを処理することを含む。計測学的ターゲット設計がウエハ上で良好に印刷されなかった場合、設計の最適化のために、計測学的ターゲット設計を変更可能である。変更された設計は、上に記載される如くCADツールにかけられ、更に、上に記載される他のステップは、変更された設計に関し実行される。計測学的ターゲット設計がウエハ上に良好に印刷された場合、計測学的ターゲット設計プロセスは、デバイスの次のレイヤーに関して、実行される。
【0079】
従って、個々のトライアルは、計測学的ターゲット構造のパフォーマンスを試験するための新規のレチクルと新規レチクルを用いる数々の実験に対応するため、試行錯誤に基づく設計は、時間がかかり、費用がかさむ。対称的に、記載されるコンピュータ実施方法の実施形態の全てのステップは、レチクル・レイアウトを用いるレチクル製造以前に実行される。このようにして、記載される如く、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成することは、レチクルを製造すること無く実行可能であり、それ故、計測学的ターゲット構造の設計費用を大幅に削減する。更に、「経験則」は往々にして知的所有物と見なされ、経験則は必ずしも広範に普及したものではない。このため、新規の計測学的ターゲット構造の適用の欠如、及び/又は、準最適な計測学的ターゲット構造が、このアプローチのしばしばの結果であった。
【0080】
記載される実施形態は、リソグラフィー又はフォトリソグラフィーのシミュレーション、及び/又は、製造プロセス、計測学的プロセスを実行し、それ故、より少ないトライアル(潜在的に一回のトライアルのみ)で計測学的ターゲット構造設計を最適化することを可能にする。このようにして、計測学的ターゲット構造設計は、記載される如く、プロセス適合性に関して最適化が可能であり、更に、プロセス・シミュレーションは、サイクル時間を削減し、計測学的ターゲット構造に関してシリコン上で最初の試みが成功するように、design for manufacturing(DFM)の機会を提供し得る。結果的に、記載される実施形態は、計測学的の最適化サイクル時間を短縮する。計測学的ターゲット構造は、より複雑になりつつあり、チップの極めて微妙な領域に移行し始めているため、更に、記載される実施形態は、過去に使用された計測学的ターゲット構造からの差別化の機会を特に提供する。従って、適切な計測学的ターゲット構造を設計するために、チップ設計者、プロセス統合技術者、そしてレイアウト技術者からの助けにより依存しなくてはならない計測学技術者にとって、計測学的ターゲット構造設計は、より厄介なものとなりつつある。しかしながら、記載される実施形態は、より短いサイクル時間で、計測学的ターゲット構造設計の生成を容易なものとする。更に、記載される如く、公知の拘束とターゲット設計考慮(又は、「経験則」)のソフトウェア実行は、本質的な不確定性と計測学的ターゲット構造設計の手動生成の不利益無しに、計測学技術者の専門的な経験則の利益をもたらす。
【0081】
従って、記載される実施形態は、経費のかさむ遅延と「re−spin」を削減することで、完全な製造サイクル内で、適切な計測学的ターゲット構造を有する適切なレチクルクルの製造時間を大幅に削減する。re−spinとは、レチクルが作成され、ウエハが処理され、そしてその後、ウエハ上で実行された測定が、計測学的ターゲット構造が使用不可能であることを示す最悪の事態である。この場合、計測学的ターゲット構造設計は再検討を要し、新しいレチクルが作成され、新しいウエハが生成され、多大な費用がかさみ、市場に対し大幅な遅れとなる。従って、また記載される実施形態は、印刷不可能な、及び/又は、測定不可能な計測学的ターゲット構造を有するレチクルへ書き込むことを試みる無駄なレチクル書き込み時間を削減し、レイアウト内のエラーにより、計測学的ターゲット構造が適切に測定できない機会を削減する。
【0082】
また記載される実施形態のモデルは、外部データ(走査型電子顕微鏡(SEM)イメージ)を経て、又は、ダイ‐データベース・レチクル検査ツールにより採用される既存の較正手順を備えるデータ共有により、実際のマスク製造プロセスを反映するように較正される。このようなモデルの較正は、記載される実施形態の精度を改善する。例えば、本方法は、生成された計測学的ターゲット構造設計を用いマスクを作成することを含む。また本方法は、レチクルの製造後に、計測学的ターゲット構造を検査、又は検証することを含む。本方法において、計測学的ターゲット構造を検査、又は検証することは、この分野で公知の任意のレチクル検査ツール、及び/又は、計測学的ツールを用いて実行可能である。
【0083】
また本発明は、レチクル製造プロセスを用い、製造されたレチクルの上で測定されるデータを用いて、レチクル製造プロセスのモデルを変更することを含む。例えば、検査、及び/又は、計測学的により生成されたレチクルに関する実験的情報により、マスク作成モデルを更新可能である。そして、更新されたマスク作成モデルは、初期計測学的ターゲット構造設計のシミュレートされた追加のイメージを生成するために、使用可能である。このようにして、マスク・プロセス・モデルは、検査、及び/又は、計測学的データ、又はSEMイメージなどといった任意の外部データ源を用いることで、又は、カリフォルニア州サンノゼ、KLA−Tencorより購入可能であるダイ‐データベース・レチクル検査ツールの上で使用可能な組み込まれた較正機能にモデル更新機能をリンクすることにより更新可能である。
【0084】
上に記載される如く製造されたレチクルを検査、及び/又は、測定することは、レチクル上に形成された計測学的ターゲット構造に関して問題が存在するか否かを決定するために実行される。更に、レチクル上に形成された計測学的ターゲット構造に関して問題が存在する場合、記載される実施形態を用いる検査、及び/又は、計測学的データに基づき、生成された計測学的ターゲット構造設計は修正され、修正された設計は、他のマスクの製造に使用される。
【0085】
製造されたレチクルは、ウエハの印刷に使用可能である。シミュレーションが実行されたリソグラフィー・プロセスを用い、ウエハが印刷される。更に、リソグラフィー・プロセスで最もよく知られたプロセス・パラメータ(例えば、焦点、露光など)を用いて、ウエハが印刷される。また、ウエハ上に形成された計測学的ターゲット構造は、(例えば、シミュレーションが実行された計測学的プロセスを用いて)検査、及び/又は、測定可能である。計測学的ターゲット構造の検査、及び/又は、測定の結果は、計測学的ターゲット構造がウエハ上にどの程度良好に印刷されたかの決定に使用可能である。例えば、検査、及び/又は、測定の結果は、生成された計測学的ターゲット構造設計に比較され、計測学的ターゲット構造がウエハ上に比較的精確に印刷されたかを決定する。計測学的ターゲット構造がウエハ上に良好に印刷されなかった場合、検査、及び/又は、測定の結果は、生成された計測学的ターゲット構造設計の変更に使用され、更に、記載されるステップが、修正された設計に関して実行される。計測学的ターゲット構造がウエハ上に良好に印刷された場合、記載される方法が、他のプロセス・レイヤーに関して実行される。
【0086】
生成された計測学的ターゲット構造設計が、ウエハ上にどのように形成されるかをシミュレートした結果は、ウエハ上に形成された計測学的ターゲット構造の実際のイメージ、又は測定と比較される。このような比較は、ウエハ・モデルの検証に使用可能である。例えば、印刷されたウエハの検査、及び/又は、測定により生成された実験データは、シミュレーション結果と比較される。印刷されたウエハとシミュレーション結果の差異は、該差異がモデルの不精確さを示すものであるか否かを決定するために分析される。そして、印刷されたウエハとシミュレーション結果の差異は、この不精確さ、そして他の全ての不精確さを修正するために使用される。従って、本方法は、リソグラフィー・プロセスを用いて印刷されたウエハ上で測定されるデータを用いて、リソグラフィー・プロセス・モデルを変更することを含む。記載される任意の他のモデルは、上に記載される如く、更新、修正、及び/又は、較正可能である。
【0087】
従って、記載される方法は、初期計測学的ターゲット構造設計のシミュレーションを、実際に測定されるマスクとウエハに相関づけ、要求される許容範囲内で、モデルが適正であることを確実にする。このプロセスにより検証され、承認された個々の設計は、適切なツールにより測定されるマスクとウエハの製造に使用可能である。マスク検査、及び/又は、計測学的ツール、そしてウエハ検査、及び/又は、計測学的ツールより収集されたデータはモデルの予想と比較される。モデルは常に更新可能であり、モデルが、様々なプロセスの最新の状態を示すことを確実にする。モデルの予想に合致しない実際のマスク、又はウエハ結果の事例を見出すために、監視技術を適用可能であり、更に、モデルは適宜に更新可能であり、さもなくば、プロセスは返却され、予想と実際の結果の間の正しい相関を復旧する。
【0088】
他の実施形態は、レチクル・レイアウトに関し計測学的ターゲット構造設計を生成するためのコンピュータ実施方法を実行するためのコンピュータ・システム上で実行可能なプログラム命令を含むキャリア媒体に関する。図2に、このような実施形態の一つを示す。特に、図2に示される如く、キャリア媒体12は、コンピュータ・システム16上で実行可能なプログラム命令14を含む。
【0089】
コンピュータ実施方法は、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと一つ又は複数の初期計測学的ターゲット構造設計とに基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを含む。一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることは、記載される如く実行可能である。また本法は、シミュレーション・ステップの結果に基づき計測学的ターゲット構造設計を生成することを含む。計測学的ターゲット構造設計を生成することは、明細書に更に記載される如く実行可能である。プログラム命令が実行可能なコンピュータ実施方法は、記載される任意の他のステップを含む。
【0090】
記載される如き方法を実行するプログラム命令14は、キャリア媒体12へ送信されるか、保管される。キャリア媒体は、ワイヤー、ケーブル、又は無線リンクなどの送信媒体であり得る。また、キャリア媒体は、ROM(read-only memory)、RAM(random access memory)、磁気又は光学ディスク、又は磁気テープなどの記録媒体でよい。
【0091】
プログラム命令は、手順に基づくテクニック、コンポーネントに基づくテクニック、及び/又は、オブジェクト指向のテクニックなどの様々な形態で実行される。例えば、プログラム命令は、ActiveX controls、C++ objects、 Java(登録商標)Beans、 Microsoft Foundation Classes(「MFC)」)、又は、所望される他のテクノロジー、又は方法論を用いてされ実行される。
【0092】
コンピュータ・システムは、パーソナル・コンピュータ・システム、イメージ・コンピュータ、メインフレーム・コンピュータ・システム、ワークステーション、ネットワーク装置、インターネット装置、又は他のデバイスを含む様々な形態とすることが可能である。一般に、「コンピュータ・システム」は、記録媒体からの命令を実行する一つ又は複数のプロセッサを有するあらゆるデバイスを包含すると、広範に定義される。本コンピュータ・システムは、パラレル・プロセッサなど、この分野で公知の他のプロセッサを含むことが可能である。更に、コンピュータ・システムは、スタンドアロン、又はネットワーク化されたツールとして、高速のプロセス機能とソフトウェアを備えたコンピュータ・プラットフォームを備える。
【0093】
他の実施形態は、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するために設定されたシステムに関する。このようなシステムの一実施形態を図2に示す。図2に示される如く、システム18は、ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートするように設定されたシミュレーション・エンジン20を備える。シミュレーション・エンジンは、ここに更に記載される如く、シミュレーションを実行するように設定可能である。また、シミュレーション・エンジンは、記載される他の任意のシミュレーション・ステップを実行するように設定可能である。シミュレーション・エンジンは、記載される如く、一つ又は複数のシミュレーションを実行するように設定可能な任意の適切なハードウェア、及び/又は、ソフトウェアを備える。
【0094】
またシステム18は、シミュレーション・エンジンの出力に基づき計測学的ターゲット構造設計を生成するように設定されたコンピュータ・システム16を備える。計測学的ターゲット構造設計を生成するためにコンピュータ・システムにより使用されたシミュレーション・エンジンの出力は、記載される任意のシミュレーションの任意の結果を含む。コンピュータ・システムは、ここに更に記載される如く、計測学的ターゲット構造設計を生成するように設定可能である。更に、コンピュータ・システムは、記載される任意の方法の実施形態の任意の他のステップを実行するように設定可能である。コンピュータ・システムは、記載される如く、更に設定可能である。本システムは記載される如く、更に設定可能である。
【0095】
幾つかの実施形態において、本システムは、検査、及び/又は、計測学的ツール(ここには示されていない)を備えてもよい。検査、及び/又は、計測学的ツールは、欠陥を検知するために、及び/又は、レチクル、及び/又は、ウエハ上に形成された計測学的ターゲット構造の一つ又は複数の特徴を測定するために、設定可能である。検査、及び/又は、計測学的ツールは、任意の適切な方法でコンピュータ・システムに接続可能である。本ツールは、この分野で公知の任意の適切な設定を備えることが可能である。更に、本ツールは、光学的画像システム、エリプソメーターに基づくシステム、散乱計に基づくシステム、又は、CD SEMなどの電子ビームシステムといった様々な設定を有することが可能である。更に、本システムは、スタンドアロン・ツールとして設定可能である。例えば、本システムは、記載される方法の一つ又は複数を実行するために特に設計された(そして光学的に専用の)一つ又は複数の構成要素を備える。
【0096】
また、記載される方法は、一般に2005年6月16日に米国特許出願番号11/154,310号としてVerma等により出願され、2005年9月14日に米国特許出願番号11/226,698号としてVerma等により出願され、2006年3月23日に米国特許出願番号2006/0062455号として公告された文献に記載される任意の方法の任意のステップを含むことが可能であり、これらは、ここに詳述された参照文献として取り扱われる。更に、記載される如く最適化される計測学的ターゲット構造の例は、一般に2005年7月22日に米国特許出願番号11/187,609号としてMonahan等により出願され、2006年2月2日に米国特許出願番2006/0024850号として公告された文献に示されており、これらは、ここに詳述された参照文献として取り扱われる。
【0097】
本技術関連分野に精通した者には、本発明の様々な見地の更なる変更と別の実施形態は明らかであろう。例えば、レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法、キャリア・メディア、そしてシステムがもたらされる。したがって、この記載は、説明のためのみとして構築され、そして本発明を実行するための一般的方法を、本技術関連分野に精通した者に教示する目的にある。ここに示され、記載された本発明の形態は、現状で好ましい実施形態として解釈されるべきであることに留意されたい。ここに示され、記載された要素と材料は置換可能であり、部品とプロセスは順序を入れ替えることが可能であり、本発明のいくつかの特徴は独立して活用可能であり、本発明に記載された利点を理解した後、本技術関連分野に精通した者には、これら全ては明らかであろう。請求項に記載される本発明の思想と見地から逸脱することなく、記載される要素は変更可能である。
【図面の簡単な説明】
【0098】
【図1】レチクル・レイアウトに関する計測学的ターゲット構造設計のためのコンピュータ実施方法の一実施形態の結果の一例を示すプロットである。
【図2】レチクル・レイアウトに関する計測学的ターゲット構造設計のためのコンピュータ実施方法を実行するためにコンピュータ・システム上で実行可能なプログラム命令を含むキャリア・メディアの一実施形態と、レチクル・レイアウトに関する計測学的ターゲットを生成するために設定されたシステムの一実施形態を示すブロック・ダイアグラムである。

【特許請求の範囲】
【請求項1】
ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと一つ又は複数の初期計測学的ターゲット構造設計とに基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることと、
該シミュレーションの結果に基づき計測学的ターゲット構造設計を生成すること
を有することを特徴とするレチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法。
【請求項2】
コンピュータ実施方法の全てのステップが自動的に実行されることを有することを特徴とする請求項1に記載の方法。
【請求項3】
レチクル・レイアウトを用いたレチクルの製造以前に、コンピュータ実施方法の全てのステップを実行することを有することを特徴とする請求項1に記載の方法。
【請求項4】
さらに、一つ又は複数の製造工程を記述する一つ又は複数のパラメータに基づき選択されたルールを用いて、一つ又は複数の初期計測学的ターゲット構造設計を生成することを有する特徴とする請求項1に記載の方法。
【請求項5】
さらに、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスに基づき、一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることにより、一つ又は複数の初期計測学的ターゲット構造設計を生成することを有することを特徴とする請求項1に記載の方法。
【請求項6】
さらに、ウエハ上の計測学的ターゲット構造の測定に用いられる一つ又は複数の計測学的プロセスを記述する一つ又は複数のパラメータに基づき選択されたルールを用いて、一つ又は複数の初期計測学的ターゲット構造設計を生成することを有することを特徴とする請求項1に記載の方法。
【請求項7】
さらに、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかのシミュレートの結果及びウエハ上の計測学的ターゲット構造を測定するために使用される一つ又は複数の計測学的プロセスとに基づき、ウエハ上に形成された一つ又は複数の初期計測学的ターゲット構造の測定をシミュレートすることを有し、生成することが、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかのシミュレートの結果と測定をシミュレートすることとに基づいて計測学的ターゲット構造設計を生成することとを含むことを特徴とする請求項1に記載の方法。
【請求項8】
シミュレートすることが、一つ又は複数の製造プロセスの一つ又は複数のパラメータの異なる値において、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることを特徴とする請求項1に記載の方法。
【請求項9】
生成することが、シミュレーションの結果に基づき一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定することと、生成された計測学的ターゲット構造設計として、最も大きなプロセス・ウィンドウを有する初期計測学的ターゲット構造設計を選択することを有することを特徴とする請求項8に記載の方法。
【請求項10】
生成することが、シミュレーションの結果に基づき一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを決定することと、一つ又は複数の計測学的ターゲット構造設計に関するプロセス・ウィンドウを、一つ又は複数の製造プロセスを用いてウエハ上に形成されるデバイス構造のプロセス・ウィンドウに比較することと、デバイス構造に関するプロセス・ウィンドウよりも大きなプロセス・ウィンドウを有する一つ又は複数の初期計測学的ターゲット構造設計に基づき計測学的ターゲット構造設計を選択することを有することを特徴とする請求項8に記載の方法。
【請求項11】
生成することが、シミュレーション結果に拘束を適用することを含み、更に、拘束が、一つ又は複数の製造プロセスと、ウエハ上の計測学的ターゲット構造を測定するために使用される一つ又は複数の計測学的プロセスに関する制約を示すことを有することを特徴とする請求項1に記載の方法。
【請求項12】
生成することが、シミュレーション結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計の一つを最適化することを有することを特徴とする請求項1に記載の方法。
【請求項13】
レチクル・レイアウトに関する標準フォーマットで生成された計測学的ターゲット構造設計に関するレイアウトを保管することを有することを特徴とする請求項1に記載の方法。
【請求項14】
一つ又は複数の製造プロセスがリソグラフィーであることを有することを特徴とする請求項1に記載の方法。
【請求項15】
一つ又は複数の製造プロセスがエッチングであることを有することを特徴とする請求項1に記載の方法。
【請求項16】
一つ又は複数の製造プロセスが化学機械研磨であることを有することを特徴とする請求項1に記載の方法。
【請求項17】
一つ又は複数の製造プロセスが製膜をであることを有することを特徴とする請求項1に記載の方法。
【請求項18】
生成することが、シミュレーションの結果に基づき、一つ又は複数の初期計測学的ターゲット構造設計が、一つ又は複数の製造プロセスと適合性があるか否かを決定することを有することを特徴とする請求項1に記載の方法。
【請求項19】
生成することが、一つ又は複数の初期計測学的ターゲット構造設計が、ウエハ上の計測学的ターゲット構造を測定するために使用される一つ又は複数の製造プロセスと適合性があるか否かを決定することを有することを特徴とする請求項1に記載の方法。
【請求項20】
レチクル・レイアウトに関する計測学的ターゲット構造設計を生成するためのコンピュータ実施方法を実行するためのコンピュータ・システム上で実行可能なプログラム命令を備えるキャリア媒体であって、コンピュータ実施方法が、
ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートすることと、
該シミュレーションの結果に基づき計測学的ターゲット構造設計を生成すること、
を含むことを有することを特徴とするキャリア媒体。
【請求項21】
ウエハ上に計測学的ターゲット構造を形成するために使用される一つ又は複数の製造プロセスと、一つ又は複数の初期計測学的ターゲット構造設計に基づき、一つ又は複数の初期計測学的ターゲット構造がウエハ上にどのように形成されるかをシミュレートするように設定されたシミュレーション・エンジンと、
シミュレーション・エンジンの出力に基づき計測学的ターゲット構造設計を生成するように設定されたコンピュータ・システムと
を備えるレチクル・レイアウトに関する計測学的ターゲット構造設計を生成するように設定されたシステム。

【図1】
image rotate

【図2】
image rotate


【公表番号】特表2009−530668(P2009−530668A)
【公表日】平成21年8月27日(2009.8.27)
【国際特許分類】
【出願番号】特願2009−500596(P2009−500596)
【出願日】平成19年3月14日(2007.3.14)
【国際出願番号】PCT/US2007/063995
【国際公開番号】WO2007/106864
【国際公開日】平成19年9月20日(2007.9.20)
【出願人】(500049141)ケーエルエー−テンカー テクノロジィース コーポレイション (126)
【Fターム(参考)】