説明

ウエハ用永続的データの作成と、永続的データを検査関連機能に使用するためのシステムと方法

【課題】
【解決手段】ウエハの永続的データを生成して検査関連機能に永続的データを使用する様々なシステムと方法を提供する。1つのシステムは検査システムの検出器に接続される一組のプロセッサノードを含む。各プロセッサノードはウエハの走査中に検出器によって生成された画像データの一部分を受信する。システムはまた、各プロセッサノードに別々に接続される記憶媒体のアレイを含む。プロセッサノードは、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全てまたは選択された部分を記憶媒体のアレイに送るように構成される。

【発明の詳細な説明】
【技術分野】
【0001】
[関連出願へのクロスリファレンス]
本出願は、2007年9月20日出願の「ウエハ用永続的データの作成と永続的データを検査関連機能へ使用するためのシステムと方法」という名称の米国特許仮出願番号60/974,030の優先権を主張する、2008年9月19日出願の「ウエハ用永続的データの作成と永続的データを検査関連機能へ使用するためのシステムと方法」という名称の米国特許出願番号12/234,201の優先権を主張し、これらを参照によって本明細書に組み込む。
【0002】
本発明は一般に、ウエハ用の永続的データを作成し、永続的データを検査関連機能に使用するためのシステムと方法に関する。特定の実施形態は、ウエハの走査中に検出器によって作成された画像データの全てまたは画像データの選択された部分が記憶され、そして1つ以上のアプリケーションで使用されるように、検査システムによるウエハの走査によって生成された画像データを記憶するように構成される。
【背景技術】
【0003】
以下の説明と例は、それらがこの部分に含まれるため、先行技術とは認められない。
【0004】
論理素子や記憶素子などの半導体素子の製造には通常、様々な特徴や複数のレベルの半導体素子を形成するために、多くの半導体製造プロセスを使う半導体ウエハなどの、基板の処理が含まれる。例えばリソグラフィーは、半導体ウエハ上に配列されるレチクルからレジストへのパターンの移動を含む半導体製造プロセスである。半導体製造プロセスのさらなる例には、化学機械研磨(CMP)、エッチング、蒸着、イオン注入が含まれるが、これらに限定されない。複数の半導体素子を単一の半導体ウエハ上に整列させて製造し、そして個々の半導体素子に分離することもできる。
【0005】
多くの様々な分野にとって、自動表面検査は重要である。例えば、半導体を製造する場合には、歩留まりを上げてプロセス制御を提供するために、検査はかなり重要である。特に検査プロセスは、ウエハ上の欠陥を検出して、製造プロセスのより高い歩留まりを促進させ、それによって利益を上げるために、半導体製造プロセス中の様々なステップで使用される。検査は半導体素子の製造において常に重要な部分である。しかしながら、半導体素子の寸法が小さくなるにつれて、条件を満たす半導体素子の製造を成功させるには、検査がさらに重要となってくる、というのも、小さな欠陥によって装置が故障してしまうからである。例えば、半導体素子の寸法の減少に伴い、サイズの小さくなった素子の欠陥の検出が必要となった、というのも、比較的小さな欠陥でさえも半導体素子に厄介な狂いを生じさせる可能性があるからである。
【0006】
表面検査は、表面上に存在する構造の寸法が極めて小さく、しかも技術の進歩に伴ってどんどん小さくなってきている半導体ウエハにとって、特に課題となっている。このような構造のサイズにより、検査システムは極めて高い解像度を有することが要求される。つまりこれは、検査プロセス中にかなり大量のデータが収集されるとうことである。例えば、300mm径の枚葉式ウエハの検査は、カリフォルニア州サンノゼのKLA−Tencor社から購入することのできる、2810システムなどの現在の検査システムを使った場合には、5分以下で収集され3テラバイトを超える画像データを生成する。
【0007】
検査で莫大な量のデータが生成されるため、通常、取得したデータを素早く処理するようなウエハ検査システムが設計される、というのも、この量のデータを記憶するのは非常に費用がかかるか、または、検査アルゴリズムを実行するコンピュータで使用される技術の潜在的な限界のために、実際には不可能だからである。例えば、現在のウエハ検査システムは、それぞれが専用のメモリを有する複数のプロセッサ(ノードと呼ばれる)を利用して、センサへのまたはセンサからのデータストリーミングを処理するために必要な、計算負荷を分散することができる。このデータはウエハのスワースに分割することができ、そして、これらのスワースはさらにサブスワースに分割され、各サブスワースは1つのノードによって処理される。計算の結果はホストアダプタコンピュータに回され、各ノードのメモリはクリアにされ、次のサブスワースのデータのために空けられる。以前の走査に関する「状態」の情報は保持されない。つまり、センサからのデータは、ノードがスワースの端に到着すると捨てられる。このように、ノードは走査全体にわたるメモリを持っていない。
【0008】
よって、取得する大量のデータのため、ほとんどのウエハ検査は、データ取得装置の速度に匹敵する速度で欠陥検出アルゴリズムを実行することのできる画像処理装置を提供することによって行われてきた。このような速度で欠陥検出アルゴリズムを実行すると、使用されるアルゴリズムの複雑性が制限されてしまう。特に、データをそれが取得されるのと同じ速度で確実に処理するためには、比較的シンプルなアルゴリズムを使用することができる。または、データをそのデータの取得速度よりも遅い速度で処理する、より複雑な欠陥検出アルゴリズムを使用することもできるが、このようなアルゴリズムはより速度の遅いデータ取得を必要とするため、検査システムの全体的な処理量が下がってしまう。
【発明の概要】
【発明が解決しようとする課題】
【0009】
従って、ウエハ用永続的データを作成し、永続的データを検査関連機能に使用するシステムと方法を開発することは有利である。
【課題を解決するための手段】
【0010】
システムと方法の様々な実施形態に関する下記の説明は、添付する請求項の対象を決して制限するものではないと解釈されたい。
【0011】
一実施形態は、検査システムでウエハを走査することによって生成された画像データを記憶するように構成されるシステムに関する。システムは、検査システムの検出器に接続される一組のプロセッサノードを含む。プロセッサノードのそれぞれは、ウエハの走査中、検出器によって生成された画像データの一部分を受け取るように構成される。またシステムは、プロセッサノードのそれぞれに別々に接続する記憶媒体のアレイを含む。プロセッサノードは、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全てまたは画像データの選択された部分を記憶媒体のアレイに送るように構成される。
【0012】
一実施形態では、記憶媒体のアレイのそれぞれは、単独のディスクドライブの冗長アレイを含む。別の実施形態では、記憶媒体のアレイのそれぞれは、コンピュータメモリを含む。追加の実施形態では、記憶媒体のアレイのそれぞれは、磁気記憶システムを含む。さらなる実施形態では、一組のプロセッサノードはプロセッサノードのカラムとして構成され、そしてシステムは、プロセッサノードのカラムに接続されるプロセッサノードの1つ以上のさらなるカラムを含む。
【0013】
一実施形態では、プロセッサノードのそれぞれは画像コンピュータを含み、そして画像コンピュータは、アドバンスド・スイッチング・インターコネクト(ASI)ファブリックを使って相互に接続される。このような一実施形態では、一組のプロセッサノードは、プロセッサノードのカラムとして構成される。このような一実施形態では、システムはプロセッサノードのカラムに接続されるプロセッサノードの追加カラムを含んでもよい。追加カラム内のプロセッサノードは、検出器によって生成された画像データの取得、検出器によって生成された画像データの前処理、検出器によって生成された画像データの画像バファリングを行うように構成される。追加カラムの中のプロセッサノードは、ASIファブリックにプラグインすることができる。
【0014】
一実施形態では、ウエハの走査中に検出器によって生成された画像データは全て、2テラバイト以上の画像データを含む。別の実施形態では、記憶媒体のアレイに記憶された画像データは原画像を含む。追加の実施形態では、画像データは、画像データを使って欠陥検出が行われる前に記憶媒体のアレイに記憶される。
【0015】
一実施形態では、一組のプロセッサノードは、一組のプロセッサノードによって画像データが受信される時に、画像データが記憶媒体のアレイに記憶されるように構成される。別の実施形態では、一組のプロセッサノードと記憶媒体のアレイは、検査システムをシミュレートするように構成される、スタンドアロンのサブシステムとして構成される。追加の実施形態では、一組のプロセッサノードと記憶媒体のアレイは、完全検査システムのプロキシとして使用することができる。さらなる実施形態では、一組のプロセッサノードと記憶媒体のアレイは、検査システムのユーザインターフェースを模倣するスタンドアロンのサブシステムとして機能するように構成される。いくつかの実施形態では、一組のプロセッサノードは、検査システムによって、または検査システムを使って行うことのできる1つ以上の機能をシミュレートするために、オフラインモードで1つ以上の機能を実行するように構成することができる。さらに別の実施形態では、一組のプロセッサノードと記憶媒体のアレイは、仮想の検査システムとして構成される。別の実施形態では、一組のプロセッサノードは、画像データのストリームが検出器によって生成され、一組のプロセッサノードによって受信された時に、記憶媒体のアレイに記憶された画像データを画像データのストリームと組み合わせるように構成される。追加の実施形態では、一組のプロセッサノードと記憶媒体のアレイは検査システムに埋め込まれる。
【0016】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶されるウエハの走査中に検出器によって生成された画像データを全て使って、ウエハ全体の画像を生成するように構成される。いくつかの実施形態では、ウエハはパターン化されたウエハを含む。別の実施形態では、一組のプロセッサノードは記憶媒体のアレイに記憶された画像データを使って、ユーザがナビゲーションのために物理的なウエハを使うことなく、ウエハ全体の画像によってナビゲートできるようにする。
【0017】
追加の実施形態では、一組のプロセッサノードは、検査システムの複数の撮像モードで取得されたウエハの画像をアーカイブするように構成され、アーカイブされた画像は検査レシピのモード選択に使用することができるように構成される。いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使って、検査レシピを調整するように構成される。
【0018】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分を使って、ウエハの検査の1つ以上の欠陥検出パラメータを選ぶように構成される。別の実施形態では、一組のプロセッサノードは、ウエハの追加走査を行うことによって生成される追加の画像データを必要とせずに、記憶媒体のアレイに記憶されるウエハの走査中に検出器によって生成された画像データを使って、ウエハの検査のための1つ以上の欠陥検出パラメータを選択するように構成される。
【0019】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使ってウエハ上の2つ以上の異なるタイプの領域を識別することにより、そして記憶媒体のアレイに記憶された画像データを使って2つ以上の異なるタイプの領域の1つ以上の欠陥検出パラメータを別々に決めることによって、ウエハの検査のための1つ以上の欠陥検出パラメータを選択するように構成される。
【0020】
一実施形態では、検査システムは明視野小画素検査システムとして構成され、そして一組のプロセッサノードは、検査システムによって生成されたウエハの画像をアーカイブするように構成される。別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データのオフライン画像解析を行い、検査システムよりも低い処理量と高い解像度を持つ、別の検査システムの検査サンプルプランの識別を行うように構成される。
【0021】
一実施形態では、一組のプロセッサノードは、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの一部分が記憶されて初めて、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、欠陥検出は画像データに欠陥検出アルゴリズムを適用させることによって行われ、欠陥検出アルゴリズムは、欠陥検出アルゴリズムが画像データに適用される速度に関係なく選択される。
【0022】
いくつかの実施形態では、一組のプロセッサノードは、2つ以上の欠陥検出アルゴリズムを記憶媒体のアレイに記憶された画像データに適用させることによって、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、2つ以上の欠陥検出アルゴリズムは、2つ以上の欠陥検出アルゴリズムの少なくとも1つのパラメータが異なる。
【0023】
一実施形態では、一組のプロセッサノードは、複数のパスの記憶媒体のアレイに記憶された画像データを処理することによって、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、複数のパスの1つは、ウエハ上の1つ以上の関心領域に対応する画像データの1つ以上の部分を識別することを含む。別のこのような実施形態では、複数のパスの1つは、1つ以上のアルゴリズムを画像データに適用させて欠陥を抽出し、誤った欠陥の検出を抑制することを含む。
【0024】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データの複数のストリームを使って、ウエハ上の欠陥を検出するように構成される。別の実施形態では、一組のプロセッサノードは、画像データの複数のストリームを使ってウエハ上の欠陥を検出するように構成され、複数のストリームの1つは、記憶媒体のアレイに記憶された画像データを含み、複数のストリームのもう1つのストリームは、ウエハの追加走査中に検出器によって生成された画像データを含み、走査と追加走査は検査システムの1つ以上の異なるパラメータを使って行われる。
【0025】
別の実施形態では、一組のプロセッサノードは、データの複数のストリームを使ってウエハ上の欠陥を検出するように構成され、複数のストリームには、記憶媒体のアレイに記憶された画像データ、ウエハの統計データ、ウエハのデザインデータが含まれる。追加の実施形態では、一組のプロセッサノードは、データの複数のストリームを使ってウエハ上の欠陥を検出するように構成され、複数のストリームの1つは、記憶媒体のアレイに記憶された画像データを含み、そして複数のストリームのもう1つは、検査システムによって取得されなかったデータを含む。
【0026】
一実施形態では、一組のプロセッサノードは、ウエハ検査中に検出された欠陥のビニングと、ウエハ検査の感度領域の決定のために、記憶媒体のアレイに記憶されたダイのコンテキストマップを使ってウエハ検査を行うように構成される。別の実施形態では、一組のプロセッサノードは、オフラインで生成されたデザインレイアウトからダイのコンテキストマップを生成し、生成されたダイのコンテキストマップを記憶媒体のアレイに記憶するように構成される。追加の実施形態では、一組のプロセッサノードは、記憶媒体のアレイにあらかじめ記憶されたウエハ画像を処理するために、画像処理アルゴリズムを使ってダイのコンテキストマップを生成し、ダイのコンテキストマップを記憶媒体のアレイに記憶するように構成される。
【0027】
一実施形態では、一組のプロセッサノードは、ウエハのダイに対応する画像データの一部分を標準的基準ダイと比較し、そしてウエハのダイに対応する画像データの異なる一部分をウエハ上の異なるダイに対応する画像データの対応する部分と比較して、記憶媒体のアレイに記憶された画像データを使って、ウエハ上の欠陥を検出するように構成される。
【0028】
一実施形態では、一組のプロセッサノードは、ウエハのダイに対応する画像データの一部分をウエハの異なるダイに対応する画像データの別の部分と比較して、記憶媒体のアレイに記憶された画像データを使って、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、画像データの一部分と画像データの別の部分は画像データの単一ストリームに含まれる。
【0029】
別の実施形態では、一組のプロセッサノードは、1つ以上のウエハ上の2つ以上のダイのために、画像データを使って標準的基準ダイを生成し、そして標準的基準ダイを記憶媒体のアレイに記憶するように構成される。別の実施形態では、一組のプロセッサノードは、ウエハ上のダイに対応する画像データを標準的基準ダイと比較してウエハ検査を行うように構成され、ウエハ上のダイに対応する画像データと標準的基準ダイは、記憶媒体のアレイに記憶される。追加の実施形態では、一組のプロセッサノードは、ダイに対応する画像データが検出器から受信される時に、記憶媒体のアレイに記憶された標準的基準ダイをウエハ上のダイに対応する画像データと比較して、ウエハ検査を行うように構成される
【0030】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使って、ウエハプロパティシグネチャ解析を行うように構成される。一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データを全て使って、ウエハ全体の画像を生成するように構成され、そして一組のプロセッサノードは、画像の局所画像処理と画像の非局所画像処理を行うように構成される。別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データの処理を行い、そして画像データの処理の結果を使って、ウエハの追加画像データをウエハの走査によって取得するか、または記憶媒体のアレイから取得するかを決める。追加の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データとウエハの少なくとも1つの追加のデータソースを使って、ウエハの画像を生成するように構成される。
【0031】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶されたウエハの画像データを別のウエハの画像データと比較するように構成される。このような一実施形態では、ウエハと他のウエハは、プロセスの少なくとも1つのウエハレベルパラメータの異なる値を用いて処理される。
【0032】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データの全てを使ってウエハ全体の画像を生成するように構成され、そして一組のプロセッサノードは、ウエハ上の欠陥がレビューされるレビューシステムに画像を送り、レビューシステムによって生成されたウエハの画像と出力が、検査システムによって生成される追加の画像データを必要とせずに、ウエハ全体の画像を使って、ウエハの検査のための1つ以上の欠陥検出パラメータの選択に使用できるように構成される。
【0033】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハの1つ以上の特性が電気的試験の結果に原因として関連しているかどうかを決定するように構成される。別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハの検査用の1つ以上の欠陥検出パラメータを選択するように構成され、そして記憶媒体のアレイに記憶された画像データを使って検査は行われる。追加の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハ上の欠陥が電気的試験の結果を生じさせた可能性を決定する。さらなる実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データ、電気的試験の結果、デザインデータ、ネットリストデータを使って、ウエハ上の欠陥が電気的試験の結果を生じさせた可能性を決定するように構成される。いくつかの実施形態では、一組のプロセッサノードは、電気的試験の結果とウエハ上の物理的位置への電気的試験の結果のマッピングを組み合わせて使用して、記憶媒体のアレイに記憶された画像データで実行される検査プロセスの1つ以上のパラメータを変えるように構成される。さらに別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハ上の欠陥が電気的試験の結果を生じさせた可能性を決定するように構成され、そして一組のプロセッサノードは、その可能性に基づいて、ウエハ上で実行される物理的解析の1つ以上のパラメータを決定するように構成される。
【0034】
いくつかの実施形態では、検査システムの2つ以上の光学的構成を使って、ウエハの走査中に検出器によって画像データが生成される。
【0035】
上述のシステムの各実施形態は、以下にさらに説明するように、さらに構成される。
【0036】
別の実施形態は、ウエハ上の欠陥を検出するように構成される検査システムに関する。システムはウエハの走査によってウエハの画像データを生成するために構成される検査サブシステムを含む。システムはまた、検査サブシステムに接続される一組のプロセッサノードを含む。プロセッサノードのそれぞれは、ウエハの走査中に検査サブシステムによって生成される画像データの一部分を受信するように構成される。プロセッサノードのそれぞれはまた、プロセッサノードのそれぞれによって受信された画像データの一部分を使って、ウエハ上の欠陥を検出するように構成される。さらに、システムはプロセッサノードのそれぞれに別々に接続される記憶媒体のアレイを含む。プロセッサノードは、ウエハの走査中に検査サブシステムによって生成された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全てまたは画像データの一部分を記憶媒体のアレイに送るように構成される。
【0037】
上述のシステムの各実施形態は、以下にさらに説明するように、さらに構成される。
【0038】
追加の実施形態は、検査システムでウエハを走査することによって生成された画像データの記憶方法に関する。方法には、ウエハの走査中に検査システムの検出器によって生成された画像データの異なる部分を別々に受信するステップが含まれる。この方法は、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が記憶媒体の異なるアレイに記憶されるように、異なる部分または異なる部分の選択された部分を記憶媒体の異なるアレイへ別々に送るステップが含まれる。
【0039】
上述の方法の各ステップは、さらに本明細書に記載するようにさらに行ってもよい。さらに、上述の方法の実施形態は、本明細書に記載するその他の方法のその他のステップを含んでもよい。さらに、上述の方法は、本明細書に記載するシステムのいずれかによって行ってもよい。
【図面の簡単な説明】
【0040】
本発明のさらなる利点は、下記に詳細を示す好適な実施形態と添付図面を参照することによって、当業者には明らかとなるだろう。
【0041】
【図1】検査システムでウエハを走査することによって生成される画像データを記憶するように構成されるシステムの一実施形態を示すブロック図である。
【図1a】本明細書に記載のシステムの実施形態に含むことのできる、様々なプロセッサノードの相互接続に使用することのできる、構成の一実施形態を示すブロック図である。
【図2】本明細書に記載する1つ以上のシステムの実施形態によって行うことのできる、画像処理の一実施形態を示すフローチャートである。
【図3】本明細書に記載する1つ以上のシステムの実施形態によって行うことのできる、マルチ・走査欠陥検出の処理シーケンスの一実施形態を示すフローチャートである。
【図4】検査レシピの調整に使用することができ、本明細書に記載する1つ以上のシステム実施形態によって行うことのできる、異常値(欠陥)を使った、領域毎の領域ラベリング(ノイズを使った)と閾値設定のためのin-situ後処理シーケンスの実施形態を示すフローチャートである。
【図5】本明細書に記載する1つ以上のシステム実施形態によって行うことのできる、ウエハシグネチャモニタリングの処理シーケンスの一実施形態を示すフローチャートである。
【図6】ウエハ上の欠陥を検出するために構成される、検査システムの一実施形態を示す概略図である。
【0042】
本発明には様々な修正や変形が行われる可能性があるが、本発明の特定の実施形態はこれらの図面の例によって示され、本明細書で詳細が説明される。図面は同じ縮尺率で描かれていない。しかしながら、これらの図面やそれらの詳細な説明は、開示された具体的な形態に本発明を制限するものではなく、むしろ、添付の請求項によって定める本発明の要旨や範囲に該当する全ての修正、同等物そして代替物を包括するものである。
【発明を実施するための形態】
【0043】
本明細書では、「ウエハ」という語句は通常、半導体または非半導体材料によって形成される基板を指す。このような半導体または非半導体材料の例には、単結晶シリコン、ガリウムヒ素、リン化インジウムが含まれるが、これらに限定されない。このような基板は、半導体製造施設でよく見られ、そして/またはそこで処理される。
【0044】
ウエハは基板上に形成される1つ以上の層を含む。例えばこのような層には、レジスト、誘導体、導電体、半導体材料が含まれるが、これらに限定されない。多くの様々なタイプのこのような層は当技術分野で周知であり、本明細書で使用されるウエハという語句は、このような層の全てのタイプを含むウエハを包括するものである。
【0045】
ウエハ上に形成される1つ以上の層はパターン化されているか、またはパターン化されていない。例えば、ウエハは複数のダイを含み、各ダイは繰り返し可能なパターン化の特徴を持っている。材料のこのような層の形成と処理によって、究極的に完全な素子となる。集積回路(IC)などの多くの様々なタイプの素子をウエハ上に形成することができ、本明細書で使われるウエハという語句は、その上で当技術分野で周知の任意のタイプの素子が製造されるウエハを包括することを意図している。
【0046】
本明細書では、実施形態をウエハに関して記載しているが、これらの実施形態は、画像データを記憶したり、または通常マスクまたはフォトマスクと呼ぶことのできるレチクルなどの、別の試料上の欠陥を検出するためにも使用することができると理解されたい。多くの異なるタイプのレチクルは当技術分野で周知であり、本明細書で使用される「レチクル」、「マスク」、「フォトマスク」という語句は、当技術分野で周知の全てのタイプのレチクルを包括することを意図している。
【0047】
本明細書で使用される「デザインデータ」という語句は通常、ICの物理的デザイン(レイアウト)と複雑なシミュレーションまたは単純な幾何演算とブール演算による、物理的デザインから算出されるデータを指す。さらに、レチクル検査システムによって取得されたレチクルの画像と/またはその派生物は、デザインデータの「プロキシ」として使用することができる。このようなレチクル画像またはその派生物は、デザインデータを使用する本明細書に記載のあらゆる実施形態のデザインレイアウトの代替となることができる。このデザインデータは、参照によって本明細書に組み込まれる、2007年7月7日に米国特許出願公報第2007/0156379号として発行されたKulkarni氏らによる米国特許出願番号第11/561,735号と、2007年12月13日に米国特許出願公報第2007/0288219号として発行されたZafar氏らによる米国特許出願番号第11/561,659号に記載される(両特許とも2006年11月20日に出願)その他のデザインデータあるいはデザインデータプロキシを含んでもよい。
【0048】
図面に戻る。なお、これらの図面は一定の縮尺率で描かれていない。具体的には、図面のいくつかの要素の尺度は、それらの要素の特性を強調するために実際よりも大きく表現されている。なお、図面は同じ縮尺率で描かれていない。1つ以上の同じように構成される図面に示される要素は、同じ参照番号を使って示される。
【0049】
一般に、本明細書に記載する実施形態は、表面検査の分野、特に、半導体ウエハ上に見られる複雑なパターン化された表面の検査に関する。本明細書に記載する実施形態はまた、永続的データを使った表面検査の方法とシステムに関する。例えば、本明細書に記載するいくつかの実施形態は、表面走査中に取得される電子データが後の使用のために記憶される、データ永続コンセプトを広く利用したものである。このような一つの例では、本明細書に記載する実施形態は、表面検査の感度を上げるために永続的データを使って、最先端の複雑にパターン化された表面検査システムの使用を拡大するために使用することができる。本明細書に記載する実施形態はまた、本明細書にさらに説明するように、対象試料の再走査を必要とせずに、検査中に使用される調節可能なパラメータの調整を可能にすることによって、パターン化された表面検査システムを簡単に使用できるように改善するためにも使用することができる。
【0050】
コンピュータテクノロジーの最近の進歩は、並列コンピュータ(クラスタ)を使って、高性能なコンピュータ(HPC)プラットフォームを作成する傾向にある。さらに、磁気記憶の最近の進歩によって、コンポーネントの価格は下がったが、磁気記憶の容量と性能は増加した。これらのテクノロジーによって、比較的大きなスケールと比較的リーズナブルなコストで、永続的データ(コンピュータメモリにバッファリング取得した画像データ、磁気記憶、またはその組み合わせ)を使う可能性が広がった。このようにして、本明細書に記載する実施形態は、走査から、そして可能であれば前の走査から関連情報を保持することによって、コンピュータノードの「処理状態を把握しない」という現在の制限を取り除くことができ、それによって、本明細書にさらに記載する様々なタイプのアプリケーションが可能になる。
【0051】
一実施形態は、検査システムによるウエハの走査によって生成された画像データを記憶するように構成されるシステムに関する。このように、システムはウエハの永続的データ(すなわち、おそらくユーザによって意図的に削除されるまで、記憶媒体に無期限に永続するデータ)を作成することができる。画像データには、検査システムの検出器によって生成することのできる任意の画像データが含まれる。ウエハの走査は任意の適切な方法で行うことができる(例えば、ウエハに光を走査して、走査中のウエハからの光の反射と/または分散を検出する)。さらに検査システムには明視野(ブライトフィールド:BF)検査システム、暗視野(ダークフィールド:DF)検査システムなどの任意の適切な検査システムを含むことができる。検査システムはさらに下記に記載するように構成される。
【0052】
本システムは、検査システムの検出器に接続される一組のプロセッサノードを含む。一組のプロセッサノードは、好適には2つ以上のプロセッサノードを含む。システムのこのような一実施形態を図1に示す。図1に示すように、検出器10はウエハ12の走査中に画像データを生成するように構成される。検出器10は、センサアレイや時間遅延積分(TDI)センサなど、当技術分野で周知の任意の適切な検査システムの任意の適切な検出器を含んでもよい。一組のプロセッサノードは、検出器10に接続される一組のプロセッサノード20を含む。一組のプロセッサノードは、1つ以上のプロセッサと/または1つ以上の追加のプロセッサノードによって、検出器10に接続される。例えば、検出器10はプロセッサ14に接続される。検出器10は任意の適切な方法でプロセッサ14に接続してもよい。
【0053】
プロセッサ14は、検出器によって生成された画像データを異なる画像データストリームに分割するように構成される。プロセッサ14は「専用の」プロセッサであってもよく、その点において、それは画像データの分割のみを行うように構成されてもよい。プロセッサ14は当技術分野で周知の任意の適切なプロセッサを含んでもよい。プロセッサ14は、画像データを任意の適切な方法で異なるストリームに分割するように構成してもよい。例えば、プロセッサは検出器によって生成された画像データを画像データのスワースに分割するように構成してもよく、各スワースはプロセッサによってさらにサブスワースに分割してもよい。プロセッサは画像データの分割された部分のそれぞれ(例えばサブスワース)をカラムの中のプロセッサノードの1つに送るように構成してもよい。
【0054】
一実施形態では、一組のプロセッサノード20は、図1に示すように、プロセッサノードのカラムとして構成され、システムはプロセッサノードのカラムに接続されるプロセッサノードの1つ以上の追加のカラムを含む。例えば、プロセッサ14によって生成された異なる画像データストリームのそれぞれは、プロセッサノード16の1つに送ることができる。プロセッサノード16のそれぞれはプロセッサ14に接続される。図1に示すように、プロセッサノード16は、プロセッサノードのカラムとして構成してもよい。プロセッサノード16は、プロセッサノードのそれぞれによって受信された画像データの一部分で1つ以上のプロセスを実行するように構成してもよい。1つ以上のプロセスは、欠陥検出(例えば、欠陥検出アルゴリズムの画像データへの適用)などの、任意の適切なプロセスを含んでもよい。
【0055】
プロセッサノード16による処理の後で、画像データの異なる部分をプロセッサノード18に送ることができ、プロセッサノード18もまたプロセッサノードのカラムとして構成してもよい。プロセッサノード18はまた、プロセッサノードのそれぞれによって受信された画像データの一部分で1つ以上のプロセスを実行するように構成してもよい。1つ以上のプロセスには、欠陥検出(例えば、欠陥検出アルゴリズムの画像データへの適用)などの、任意の適切なプロセスを含んでもよい。プロセッサ14、一組のプロセッサノード16、そして一組のプロセッサノード18はさらに、参照によって本明細書に組み込む、Bhaskar氏らによる米国特許番号第7,024,339号とBhaskar氏らによる米国特許番号第7,251,586号に記載のように構成することができる。本明細書に記載するこれらのシステムはさらに、これらの特許に記載されるように構成することができる。例えば、これらのシステムはこれらの特許に記載のジョブマネージャー(job manager)を含むことができる。このジョブマネージャーは、異なるプロセッサノードによって行われる様々なタスクのスケジュールを決めたり、そして/またはプロセッサノードの相互作用と/または機能の調整または調和を行うように構成される。別の例では、システムを、プロセッサノードのそれぞれが光ファイバネットワークなどの高速ネットワークのオンライン(図示せず)で画像データを受信するように構成してもよい。光ファイバネットワークはシリアル、全二重データ転送アーキテクチャを実行してもよい。
【0056】
一組のプロセッサノード16と一組のプロセッサノード18によって形成されるカラムは、システムの演算カラムを形成してもよく、演算カラムでは、それらが画像データ上で任意の事前記憶機能を行うように構成してもよい。プロセッサノード18による処理の後、画像データの異なる部分をプロセッサノード20に送ってもよく、それもまたプロセッサノードのカラムとして構成してもよい。このようして、一組のプロセッサノード20は、プロセッサ14、プロセッサノード16、プロセッサノード18によって検査システムの検出器10に接続してもよく、そしてプロセッサノード20のそれぞれは、ウエハの走査中に検出器によって生成された画像データの一部分を受信するように構成される。
【0057】
一組のプロセッサノード20によって形成されるカラムは、システムの「仮想インスペクタ」(VI)カラムを形成してもよく、その中でこのカラムは、本明細書に記載する実施形態のいずれかに従って、画像データの記憶を行うように構成してもよい。図1に示すプロセッサとプロセッサノードのそれぞれは、個々のコンピュータとして構成してもよい。いくつかの実施形態では、プロセッサノードのそれぞれは、本明細書に記載するかまたは当技術分野で周知の、画像コンピュータまたは任意の適切なその他のコンピュータを含んでもよい。さらに好適には、任意のカラムのプロセッサノードをデイジーチェーン接続形態のネットワークに接続させる。
【0058】
図1に示すシステムはプロセッサノードの3つのカラムを含むが、システムはどんな適切な数のプロセッサノードのカラム(例えば、プロセッサノードの1つ以上のカラム)を含んでもよい。例えば、いくつかの実施形態では、システムはプロセッサノードのVIカラム(すなわち、一組のプロセッサノード20)のみを含んでもよい。さらに、プロセッサノードのカラムの数は簡単に測定可能である(例えば、システムによって行われる画像データの処理に基づいて)。例えば、もっと速度が望まれる場合には、システムは複数のカラムを使用するように構成してもよい。さらに、画像データはプロセッサノードのカラムによって順番に処理してもよく、システムに含まれるプロセッサノードのカラムの数は、システムの必要とする処理能力によって変化してもよい。よってシステムは、要望に応じて複数のカラムを直列にして、プロセッサノードの複数の「カラム」を使用してもよい。
【0059】
さらに、図1に示すプロセッサノードのカラムのそれぞれは4つのプロセッサノードを含むが、プロセッサノードのカラムは任意の適切な数のプロセッサノード(例えば、2つ以上のプロセッサノード)を含んでもよい。各カラムに含まれるプロセッサノードの数もまた簡単にスケーラブルである(例えば、検査システムの検出器によって生成される画像データの量に基づいて)。例えば、システムに含まれるプロセッサノードの列の数は、システムによって必要とされる入力/出力(I/O)容量によって異なる。よって、図1に示すアーキテクチャは、データ取得にスケーラブルなI/O容量と画像データ処理にスケーラブルな容量を提供する。
【0060】
システムはまた、プロセッサノードのそれぞれに別々に接続される記憶媒体のアレイを含む。例えば、図1に示すように、システムはプロセッサノード20に接続される記憶媒体のアレイ22を含む。アレイ22は任意の適切な方法でプロセッサノードに接続することができる。さらに、アレイに含まれる記憶媒体は、システムまたは検査システムの構造に基づいて選択してもよい(例えば、各アレイに記憶される画像データの量に基づいて)。
【0061】
一実施形態では、記憶媒体のアレイのそれぞれは、個々のディスク(RAID)ドライブの冗長アレイ(すなわちRAIDアレイ)を含む。このように、システムはカラムの各プロセッサノードに接続される高性能のRAIDアレイを含んでもよい。別の実施形態では、記憶媒体のアレイのそれぞれはコンピュータメモリを含む。コンピュータメモリは当技術分野で周知の任意の適切なコンピュータメモリを含んでもよい。追加の実施形態では、記憶媒体のアレイのそれぞれは磁気記憶システムを含む。磁気記憶システムは当技術分野で周知の任意の適切な磁気記憶システムを含んでもよい。このようにして、本明細書に記載の実施形態は、データ取得とデータ解析を分離するために、莫大な量のコンピュータメモリ、高性能の磁気記憶システム(RAIDアレイなどの)、またはそれらの組み合わせを含む。さらに、図1に示す記憶媒体のアレイは、プロセッサノードのVIカラムから分離されているが、記憶媒体のアレイはプロセッサノードのVIカラムに集約してもよい。例えば、VIカラムに含まれるプロセッサノードのそれぞれは画像コンピュータでもよく、記憶媒体のアレイは画像コンピュータに含まれるメモリを含んでもよい。
【0062】
プロセッサノード(VIカラム内の)は、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全てまたは画像データの一部分を記憶媒体のアレイに送るように構成される。例えば、プロセッサノードのそれぞれは、ウエハの走査中に検出器によって生成された画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの選択された部分がそれに接続される記憶媒体のアレイに送られるように構成してもよい。画像データの選択された部分は、画像データ自身または画像データ自身の1つ以上の特性(画像データの輝度値など)に基づいて選択されない。従って、画像データの選択された部分は、画像データの選択された部分が、画像データそれ自身の特性(例えば輝度)に基づいて識別することのできるウエハ上の欠陥に対応する(または対応する可能性のある)画像データのみを含むように選択されない、その他の現在使用されているシステムによって記憶される画像データの部分とは異なる。つまり、プロセッサノードによって記憶媒体のアレイに記憶された画像データの選択された部分は、ウエハ上の欠陥(画像データを使って検出することのできる)から独立している。例えば、画像データの選択された部分は、ウエハ上の1つ以上の関心領域に対応する画像データの1つ以上の部分を含んでもよい。関心領域はユーザによって選択されてもよい。このようにして、プロセッサノードによって記憶される画像データの一部分は、ユーザによって効果的に選択されてもよい。さらに、本明細書に記載する実施形態によって記憶される画像データの一部分は、ウエハの走査前に選択してもよい(例えば、ウエハ上の領域または潜在的な関心領域に関する情報に基づいて)。つまり、画像データの選択された部分は、画像データの作成前に選択してもよい。さらにプロセッサノードは、ウエハの走査中に検出器によって生成された画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの選択された部分を記憶媒体のアレイに送ることができるが、プロセッサノードのそれぞれは、ウエハの走査中に検出器によって生成された画像データの全てが記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全体の部分をそれに接続される記憶媒体のアレイに送るように、有利に構成してもよい。
【0063】
従って、画像データは上述のようにシステムによって分割され、画像データの様々なセグメントは、記憶媒体の様々なアレイに記憶される。このように、システムはウエハの走査によって取得された画像データの分散記憶のために構成される。画像データの分割と異なるセグメントの別々の記憶は有利である、というのも本明細書に記載するように、ウエハ全体の画像データはかなり大きいからである。例えば、本明細書に記載する実施形態によって記憶されることのできる画像データのサイズはとても大きいので、それを単一のファイルとして単一の記憶媒体に記憶することはできない。
【0064】
さらにVIカラムは、システムに含まれるプロセッサノードの他のカラムとは異なり、画像データがプロセッサノードによって受信された時にそれを処理しない。代わりに、本明細書にさらに述べるように、VIカラムは記憶された画像データを使って1つ以上のアプリケーションを実行することができるが(例えば、画像データの後処理)、VIカラムは、画像データの生成または取得中に画像データの記憶のみを行ってもよい。
【0065】
本明細書に記載する実施形態は、上述に参照する特許に記載の画像コンピュータ・アーキテクチャのような、既存の画像コンピュータ・アーキテクチャと互換性のある永続的データの費用効率の高い実装を提供する。例えば、図1に示すシステムの要素のそれぞれは(例えば、プロセッサノードと記憶媒体のアレイのそれぞれ)、市販のコンポーネントを含んでもよい。特に、図1に示すシステムのコンポーネントは簡単に入手することができ、しかも特注のデザインや製造を必要としない、しかしおそらく、各プロセッサノードに含まれ、比較的簡単に製作することのできるインターフェース(図示せず)を除く。このような一例として、プロセッサノードはOS Xを動かすデュアルプロセッサG5 PowerMacコンピュータであってもよい。これはカリフォルニア州クパチーノのアップルコンピュータ社から購入することができ、好適には少なくとも約2GHzのクロック速度で動作する。このような別の例では、プロセッサノードはOpteronTMベースのコンピュータを含んでもよく、これはカリフォルニア州サニーベルのアドバンスド・マイクロ・デバイシズ社から購入することができ、これは同様の速度とメモリ容量を持ち、Linuxオペレーティングシステムと使用することができる。
【0066】
プロセッサノードはインターフェースを介して画像データを受信してもよく、画像データは各プロセッサノードにインストールしてもよい。インターフェースは、所定のプロセッサノードが画像データを処理(例えば、ディスクへの書き込み)することのできる速度と好適には少なくとも同じ速度で、高速ネットワークで画像データを受信するように構成してもよい。一実施形態では、インターフェースは送受信機のようなシリアル光ファイバ入力を有する入力データアダプタカードであり、これは、ファイバネットワークに受信した光ファイバ画像データを電気的な画像データに変換し、その画像データをフィールドプログラマブルゲートアレイ(FPGA)などのプレプロセッサに送るものである。好適には、送受信機は約2.5GB/sの帯域幅を支持する。さらに、各インターフェースは4つのこのような送受信機を有することができ、所定の列の各プロセッサノードの各送受信機は個々に、列内のその他のプロセッサノードのそれぞれの対応する送受信機とデイジーチェーンで配線される。従って、ネットワークはプロセッサノードの各列に接続を提供することができる。
【0067】
図1に示すシステムのこのようなコンポーネントを使うことは、多くの理由から有利である。例えば、システムの信頼性は、比較的大きな技術的努力がつぎ込まれ、更新と調節が継続的に行われ、市場で優れた実績を持つコンポーネントを使用することによって高まる。さらに、正規メーカーによって製作される比較的多数の単一コンポーネントを使うことにより、通常は問題をより早く発見し、そしてすぐに解決することができる。
【0068】
さらに、本明細書に記載するプロセッサノードやその他のコンポーネントとして使用することのできる市販のコンピュータは、多くの種類のアルゴリズムを実行することができるが、一方で、特注のハードウェアや、現在使用されている検査システムで広くいきわたっている特別に最適化されたハードウェアは、そういったハードウェアのできることに大きく制限される傾向にある、というのも、このような特注のハードウェアに追加機能を加えるコストは非常に高いからである。
【0069】
好適には、一組のプロセッサノードは、比較的速い速度で記憶媒体のアレイに画像データを書き込むように構成される。例えば、VIカラムはプロセッサノードの列あたり約650MB/sの速度で(またはプロセッサノードの列あたり約800MB/sの速度で)記憶媒体のアレイに画像データを書き込むように構成してもよい。一組のプロセッサノードはさらに、参照によって本明細書に組み込む、2007年9月14日出願の、Wu氏らによる米国特許出願番号第11/855,573号に記載のように、ウエハの画像データを記憶媒体のアレイに記憶するように構成することができる。本明細書に記載する各実施形態は、この特許出願に記載されるようにさらに構成してもよい。
【0070】
半導体の重大な寸法収縮の容赦ないペースには、処理量を維持または増やすために、半導体検査の演算能力をより速く増やすことが求められる。その他の演算アプリケーションでは、しばらくの間、ネットワークまたはファブリックを介して適度に強力なプロセッサを集約することによって、HPCを構築する傾向にあった。様々な相互接続がHPCに使用されてきた。共通の相互接続には、Myrinet、Infiniband、そして高速Ethernet(登録商標)が含まれる。ほとんどのHPCアプリケーションにおいて、目標は、高い帯域幅や実装の簡単なデータ取得フロントエンドに関係なく、特殊な問題(例えば、異なる方程式解、耐候性シミュレーションなど)を解決するために、比較的大きな演算能力を提供することであるが、並行処理を生じさせる高帯域幅低レイテンシーのインタープロセッサ通信スキームの確立が優先される。
【0071】
半導体検査では、画像コンピュータには帯域幅と低レイテンシーの同じ必要条件が必要だが、フロントエンドデータ取得と信号処理の条件もまた重要である。インフィニバンド(Infiniband)などの相互接続は、HPCへのニーズを満足させるが、低コスト、フロントエンドのアナログ・デジタル変換(A/D)と画像前処理ボードを実装するのは難しく、費用がかかる。
【0072】
本明細書に記載するいくつかの実施形態は、半導体検査に使用することのできる新しい画像コンピュータアーキテクチャを提供する。これらの実施形態では、新しい汎用タイプの相互接続規格を適用して、強力な(スーパーコンピュータレベルの)画像コンピュータと/またはデータ取得ネットワークの構築ができるようにする。例えば、本明細書に記載するいくつかの実施形態は、アドバンスド・スイッチング・インターコネクト(ASI)を使った画像コンピュータアーキテクチャとして構成される。ASIはスイッチング・インターコネクト・テクノロジーであって、これは既存の独自仕様のファブリックの高度な特徴を、後に解散したアドバンスド・スイッチング・インターコネクト・スペシャル・インタレスト・グループ(ASI SIGTM)によって開発された産業標準テクノロジーやデザイン実践と組み合わせるものである。ASIに関する仕様書と資料は、マサチューセッツ州ウェークフィールドのPICMGから入手することができる。このようにして、本明細書に記載するいくつかの実施形態は、新しい相互接続テクノロジーを使って、インフィニバンドのような以前使用したスキームの欠点を緩和し、ウエハ検査タスクに役立つ強力な並行処理と並行データ取得エンジンのデザインを可能にする。
【0073】
一実施形態では、プロセッサノードのそれぞれ(例えば、VIカラム内の)は画像コンピュータを含む。画像コンピュータは本明細書に記載するいずれかの画像コンピュータを含んでもよい。このような一実施形態では、画像コンピュータはASIファブリックを使って相互接続されている。図1aは、本明細書に記載するシステム実施形態において、様々なプロセッサノードの相互接続に使用することのできる構成の一実施形態を示す。例えば、図1aに示すように、システムはプロセッサノード150を含んでもよい。本実施形態のプロセッサノードは、画像処理を行うように構成された画像コンピュータである。プロセッサノード150(例えば、VIカラムに含まれるプロセッサノード)は、本明細書に記載するようにさらに構成してもよい。画像コンピュータは、本実施形態ではASIファブリックである相互接続ファブリック152を使って相互に接続される。画像コンピュータは任意の適切な方法で相互接続ファブリックに接続してもよい。このようにして、本明細書に記載するシステムは、ASIファブリックを使って相互に接続される複数の画像コンピュータを含む半導体検査システムとして構成してもよい。
【0074】
一実施形態では、一組のプロセッサノード150はプロセッサノードのカラムとして構成される。一組のプロセッサノードは、本明細書にさらに記載するように、プロセッサノードのカラム(例えば、プロセッサノードのVIカラム)として構成してもよい。このような一実施形態では、システムはまた、プロセッサノードの追加のカラムを含む。例えば、図1aに示すように、システムはプロセッサノード154の追加のカラムを含んでもよい。図1aに示すように、追加カラム内のプロセッサノード154は、追加カラムの中のプロセッサノードがウエハの走査中に検出器によって生成された画像データを受信することができるように、本明細書でさらに記載するように、検出器10に接続させることができる。このようにして、検出器(例えば、電荷結合素子(CCD)、TDI、光電子増倍管(PMT)アレイなどの高速画像センサ)は、1つ以上のデータストリームをプロセッサノード154に供給することができる。プロセッサノードの追加のカラムは、本明細書に記載するようにさらに構成することができる(例えば、プロセッサノード16のカラムと/またはプロセッサノード18のカラム)。
【0075】
図1aに示すように、プロセッサノード154は検出器から受信した画像データのA/Dと前処理を行ってもよい。例えば、追加カラムのプロセッサノードは、検出器によって生成された画像データの取得、検出器によって生成された画像データの前処理、そして検出器によって生成された画像データの画像バファリングを行うように構成してもよい。プロセッサノード154は本明細書に記載するように構成することができ、画像データをデジタル化して、いくつかの前処理(歪み補正、放射量補正、再フォーマットなど)を行う、典型的な特注のハードウェアを含んでもよい。例えば、プロセッサノードはA/Dを行うA/Dカードを含んでもよい。あるいは、プロセッサノード154は図1aに示す実施形態のA/Dカードと置き換えてもよい。プロセッサノード154は、本明細書にさらに記載されるように、そして/または他の任意の適切な方法で、検出器によって生成された画像データの取得、検出器によって生成された画像データの前処理、そして検出器によって生成された画像データの画像バファリングを行ってもよい。このように、図1aは、データ取得/画像処理分散コンピュータアーキテクチャの包括的な実装を示す。つまり、図1aは、包括的な分散データ取得/画像処理エンジンを示す。
【0076】
追加カラム内のプロセッサノードもASIファブリックにプラグインしてもよい。例えば、図1aに示すように、プロセッサノード154は相互接続ファブリック152にプラグインされる。これはASIファブリックでもよい。プロセッサノード154は任意の適切な方法で相互接続ファブリックにプラグインしてもよい。このようにして、本明細書に記載するシステムは、ASIファブリックにプラグインされるデータ取得、前処理、画像バファリングノードを含む半導体検査システムとして構成してもよい。
【0077】
現在のアーキテクチャでは、コンピュータ内のプロセッサはカードの機能を制御するために使用することができるので、A/Dカードはたいてい制御コンピュータかまたは画像コンピュータにプラグインされる。この場合、本明細書に記載する相互接続ファブリックは削除することができる。現代のコンピュータアーキテクチャでは、A/Dカードとそのホストコンピュータとの間の相互接続は、一般にはPCI、PCI−XまたはPCI−Expressであり、これらは全て当技術分野で周知である。PCI−Expressは、ハイエンドマイクロコンピュータやより大規模なシステムでよく出回っている、最新の、そして最大帯域幅の相互接続である。
【0078】
PCI−Expressに基づいてASI規格が開発された。この新しい相互接続ファブリックはPCI−Expressと電気的に互換性があるが、PCI−Express規格にピア・ツー・ピアとマルチプロトコルトンネリングを加える。相互接続の1つの利点は、PCI−Expressカードは、PI−8などの標準プロトコルでファブリックを通り抜けて、透過的にそれらのホストから「リモート」することができることである。つまり、PCI−Expressと互換性のあるA/Dカードは、変更を加えずにASIファブリックにプラグインすることができる。例えば、ASIはPCI−Expressの物理層とリンク層を、最適化されたトランザクション層でオーバーレイする。PCI−Expressの同じ物理層とリンク層を共有することによって、大容量のPCI−ExpressエコシステムからのツールをASIで使うことができ、さらに、ASIは全てのエンドノード間のポイント・ツー・ポイント接続とマルチキャスト能力、そして1つのエンドノードの他のいくつかのエンドノードへの接続を可能にする。エンドノード相互接続は、画像処理ホスト間やフロントエンドA/Dカードとホストの間で行うことができる。
【0079】
ASIを使うことによって、PCI−Expressと互換性のあるフロントエンドコンポーネントを設計することが可能となり(非常に低価格で)、それらが標準PCI−Expressフォームファクタや出力密度を無理に満たすことなく、それらを特注デザインのプラグインポイントに集約させ、これらのカードに最適な機械的、電気的そして熱的な特性を提供する。
【0080】
さらに、ASIの低レイテンシーのピア・ツー・ピア能力によって、プロセッサノード間(プロセッサノードのVIカラムなどのホストまたは画像処理プロセッサノード)のHPCタイプの相互接続が可能となり、それによって、画像処理タスクのビジーでないノードへの動的スイッチングとルーティングが可能となる。さらに、より複雑なアルゴリズムが要求される場合には、追加の画像演算ノードをファブリックにドロップして、利用できる集約処理能力を増やすことができる。
【0081】
図1aに示す、そしてさらに上に記載する相互接続の構成は、本明細書に記載するいずれかのシステムの実施形態に含めてもよい。
【0082】
一実施形態では、ウエハの走査中に検出器によって生成された画像データは全て2テラバイト以上の画像データを含む。例えば、300mm径の枚葉式ウエハの検査では、3テラバイトを上回る画像データが生成され、本明細書に記載する実施形態では、3テラバイトの画像データを全て有利に記憶することができる。さらに、多くの様々な検査の要因が組み合わされて、非常に速い速度でかなり大量の画像データを作成することができる。例えば、現代のICサイズは非常に小さいため、検査システムによるウエハの撮像は、極めて高倍率で行われることが好適である。このように大変小さな特徴を持つ光学検査を支持するためには、検出器の画素サイズは約10分の1ミクロンが好適である。この小さな画素サイズは、比較的大量の画像データの作成される場合と、作成される速度が速い場合において、要因の一つである。さらに検査システムは、比較的大きな基板を比較的短い時間で走査するように構成される(例えば、処理量を最大にするために)ことが好適である。よって、検査システムはかなり速い速度でかなり大量のデータを作成する。
【0083】
1つの特殊な例では、検査システムは、1秒に30億以上の画素の画像データを生成し、各画素が8のグレースケールビットを持つ。この画像データの生成では、3GB/sを超える画像データストリームが生成される。別の例では、検査システムは約6.4ギガピクセル/sの画像データのストリームを取得し、これはたくさんのストリームに分割される。例えば、検出器によって取得されたデータのストリームは8つの異なるストリームに分割され、各ストリームは約800MB/sの画像データを含む。本明細書に記載する実施形態は、このような大量のデータを記憶するように構成される。
【0084】
さらに、検出器によって生成され、プロセッサノードによって記憶される比較的大量の画像データにかかわらず、追加データも記憶媒体のアレイに記憶することができる。例えば、統計データと/または標準的基準ダイのデータなどの追加の永続的データは、このような追加永続的データの量が比較的少ないのであれば、記憶媒体のアレイに記憶してもよい。
【0085】
別の実施形態では、記憶媒体のアレイに記憶される画像データは未加工の画像データを含む。例えば、欠陥検出またはその他のデータ処理が画像データの記憶前に行われたか否かにかかわらず、未加工の画像データ(すならち、検出器によって生成された時の画像データ)は一組のプロセッサノードによって記憶媒体のアレイに記憶することができる。さらに、プロセッサノードのVIカラムが記憶前に未加工の画像データで1つ以上のデータ処理機能を行った場合、VIカラムは、おそらく記憶媒体のアレイの1つ以上のデータ処理の結果と組み合わせて、未加工の画像データを記憶してもよい。さらに、プロセッサノードのVIカラムが未加工の画像データを記憶する前に、非VIプロセッサノードの1つが未加工の画像データで1つ以上の機能を行った場合、非VIカラムは、VIカラムがおそらく1つ以上機能の結果と組み合わせて未加工の画像データを記憶媒体のアレイに書き込めるように、おそらく1つ以上の機能の結果と組み合わせて、未加工の画像データをプロセッサノードのVIカラムへ送ってもよい。
【0086】
追加の実施形態では、画像データは、画像データを使って欠陥検出が行われる前に、記憶媒体のアレイに記憶される。例えば、本明細書にさらに述べるように、ウエハの走査によってウエハのために生成された画像データの全てまたは画像データの選択された部分は、一組のプロセッサノードによって記憶媒体のアレイに記憶することができるので、欠陥検出は画像データが生成された時(またはウエハの走査中)の画像データを使って行われない可能性がある。代わりに、欠陥検出は、本明細書に記載する実施形態によって画像データの全てまたは画像データの選択された部分が記憶された後で、画像データを使って行ってもよく、これは本明細書にさらに述べるように有利である。
【0087】
いくつかの実施形態では、画像データは検査システムの2つ以上の光学的構成を使って、ウエハの走査中に検出器によって生成される。異なる光学的構成は検査システムの異なる光学モードを含んでもよい。検査システムの2つ以上の光学的構成を使ったウエハの走査は、複数のパスまたは複数の走査によって行ってもよい。各光学的構成はウエハ全体の走査に使用してもよい。従って、本明細書に記載する実施形態は、複数のパスまたは走査で生成される画像データ(例えば、ウエハ全体の画像データ)を記憶してもよい。ウエハの複数のパスまたは走査によって取得された記憶された画像データは、本明細書にさらに述べるように使用してもよい(例えば、欠陥検出と/または1つ以上の欠陥検出パラメータと/またはウエハの検査に使用される検査システムの1つ以上の光学パラメータの選択)。このようにして、本明細書にさらに述べるように、異なる光学的構成のそれぞれを使って生成される画像データはかなり大きいが、これらの実施形態は、様々な光学的構成またはウエハの走査によって生成された画像データの全てまたは画像データの選択された部分を記憶するように構成することができる。
【0088】
さらに別の実施形態では、一組のプロセッサノードと記憶媒体のアレイは仮想検査システムすなわち仮想インスペクタ(VI)として構成される。例えばシステムは、一組のプロセッサノードが画像データを使って1つ以上の機能を実行することができるように、記憶媒体のアレイに記憶された画像データが、プロセッサノードのVIカラムの中に「再生される」ことのできるように構成してもよい。特に、ウエハの走査中にウエハのために生成された画像データの全てまたは画像データの選択された部分は、本明細書に記載する実施形態によって記憶されるので、システムは1つ以上の検査関連機能の実行を含む多数の様々な事を、記憶された画像データを使って行うことができる。従って、プロセッサノードのVIカラムと記憶媒体のアレイでVIを形成してもよい。よって、VIは本質的に、大量記憶、高速ディスクI/O、画像処理、視覚化のために最適化された特殊アプリケーションのスーパーコンピュータである。このシステムは、それが動作する環境(一般に半導体ファブまたはラボラトリ)と互換性のある方法で具体化することができる。VIは、VIで未加工の画像データの取得が、ウエハ、光学サブシステム、電子センサ装置を使ってリアルタイムで行われるよりもむしろディスクに保存されたデータから行われる、現実すなわち非仮想の検査システムとは異なる。従って、プロセッサノードのVIカラムは多くの様々なやり方でVIとして利用することができる。本明細書に記載するいくつかの追加の実施形態は、仮想ウエハインスペクタの容量を活用するアプリケーションのクラスに関するものである。特に本明細書に記載する実施形態では、他に特段の記載のない限り、「一組のプロセッサノード」は上述の一組のプロセッサノード20またはVIカラムを指す。
【0089】
VIは多くの様々なモードで使用することができる。例えば、VIはデータ取得モードで使用してもよい。このような一実施形態では、一組のプロセッサノードは、画像データが一組のプロセッサノードによって受信される時に記憶媒体のアレイに記憶されるように構成される。このようなデータ記憶は本明細書に記載するようにさらに行ってもよい。
【0090】
VIは現実の検査システム(すなわち、撮像要素を含む検査システム)をシミュレートするモードでも使用できる。例えば、一実施形態では、一組のプロセッサノードと記憶媒体のアレイは、検査システムをシミュレートするように構成される、スタンドアロンサブシステムとして構成される。一組のプロセッサノードと記憶媒体のアレイは、システムが検査システムの一部分ではない、または検査システムに含まれない、スタンドアロンサブシステムとして構成してもよい。スタンドアロンサブシステムは本明細書にさらに述べるように、検査システムをシミュレートすることができる(例えば、それらの機能が検査システムで実行される際に、記憶された画像データで1つ以上の検査タイプ機能を行うことによって)。
【0091】
別の実施形態では、一組のプロセッサノードと記憶媒体のアレイは完全な検査システム(すなわち、撮像素子を含む検査システム)のプロキシとして使用することができる。例えば、一組のプロセッサノードは記憶媒体のアレイに記憶された画像データを使って、完全な検査システムによって行われることのできる1つ以上の機能を行うように構成してもよい。さらに、一組のプロセッサノードはこのような機能をオフライン(完全な検査システムを使わずに)で行うように構成してもよい。従って、一組のプロセッサノードと記憶媒体のアレイは、完全な検査システムが他の機能から解放されている間に(例えば、物理的ウエハの撮像)完全な検査システムの機能がVIによって行われることができるように、完全な検査システムの代替として使用してもよい。完全な検査システムのプロキシとしてVIによって行うことのできる完全な検査システムの機能には、本明細書に記載するように、そして本明細書に記載するものを含む、高度なアプリケーション機能のための開発プラットホームとして行われるトレーニングが含まれるが、これに限定されない。従って、VIはオフラインレシピの最適化、トレーニングなどの現実のウエハ検査システムの使用を通常必要とする製造検査活動を行うために使用することができる。このようにVIは、完全な検査システムよりもはるかに低い価格のプラットフォームに検査システム機能性のサブセットを提供することにより、オーナーシップ・エンハンスメントのために機能することができる。
【0092】
追加の実施形態では、一組のプロセッサノードと記憶媒体のアレイは、検査システムのユーザインターフェース(UI)を模倣するスタンドアロンのサブシステムとして機能するように構成される。例えば、一組のプロセッサノードは、検査システムのUIと実質的に同じUIの結果または記憶された画像データを表示するように構成される表示装置に接続してもよい。従って、記憶された画像データと/または記憶媒体のアレイに記憶された画像データを使って、プロセッサノードによって行われる1つ以上のステップの結果は、完全な検査システムによるものであるかのように、UIに表示される。このようにして、永続的データメカニズムは、現実の検査システムのUIを模倣するモードで、スタンドアロンVIで具体化することができる。さらに、永続的データメカニズムは、根本的な基礎の特徴を活用して、ユーザがカスタマーワークフローを作成することを可能にするスクリプトモードで、スタンドアロンVIにおいて具体化することができる(例えば、スクリプトモードは、VIの動作が、従来のグラフィカルユーザインターフェース(GUI)によってシステムと対話するものの代替として、対話形式でまたは計画あるいはイベントトリガのバックグラウンドプロセス(例えばバッチファイル)で、コマンドラインの実行によってトリガされるようにする)。
【0093】
さらなる実施形態では、一組のプロセッサノードはオフラインモードで1つ以上の機能を実行し、検査システムによって、または検査システムを使って実行することのできる1つ以上の機能をシミュレートするように構成される。例えば、一組のプロセッサノードは、1つ以上の機能が記憶された画像データ(記憶媒体のアレイに記憶された)のみを使って行われるオフラインモードで、1つ以上の機能(本明細書にさらに記載のいずれかの機能を含む)を行うように構成してもよい。1つ以上の機能は、物理的ウエハの画像データを取得し、そして取得した時の画像データを使って1つ以上の機能を行うことによって、検査システムにより、または検査システムを使うことによって行うことのできる任意の機能を含んでもよい。このようにして、オフラインモードで、VIは検査システムを使わずに文字通り検査システムをシミュレートすることができる。
【0094】
VIを使うことのできる追加モードは、リアル検査システムによってそのストリームが取得された時の、ウエハまたは異なるウエハの画像データのストリームと組み合わせることのできる、ウエハの画像データの仮想ストリームを提供するためのものである。よって、このモードにおいて、VIは検査システムに接続されるデータソースとして機能してもよい。例えば、一実施形態では、一組のプロセッサノードは、画像データのストリームが検出器によって生成され、そして一組のプロセッサノードによって受信された時の画像データのストリームと記憶媒体のアレイに記憶された画像データとを組み合わせるように構成される。このように、ウエハの1つの走査からの画像データはディスク(例えば、記憶媒体のアレイ)に記憶してもよく、最初の走査と違うモードで同じウエハの次の走査を行う間、両方の走査からのデータをVIによって結合してもよい。記憶媒体のアレイに記憶された画像データは、本明細書にさらに記載する実施形態に従って、画像データのストリームと組み合わせてもよい。さらに、同様に、記憶媒体のアレイに記憶された非画像データは、そのストリームが検出器によって生成され、一組のプロセッサノードによって受信された時の画像データのストリームと組み合わせてもよい。このような非画像データには、例えば、デザインデータ、コンテキストマップ、そしてデータのストリームが一組のプロセッサノードによって受信された時に記憶媒体のアレイに記憶される、本明細書に記載する他の任意の非画像データが含まれる。
【0095】
さらに別のVIモードでは、VIは検査システムの一部分を形成してもよい。例えば、一実施形態では、一組のプロセッサノードと記憶媒体のアレイは検査システムに埋め込まれる。VIは任意の適切な方法で検査システムに埋め込むことができる、このようなVIの実施形態はオンラインと/またはオフラインで、本明細書に記載する実施形態のいずれかの機能も実施するように構成してもよい。このようにして、データ永続メカニズムは、現実の検査システムであろうと仮想の検査システムであろうと、検査システム内の埋め込みソフトウェアと/またはハードウェアサブシステムとして具体化することができる。
【0096】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データを全て使ってウエハ全体の画像を生成するように構成される。ウエハ全体の画像は任意の適切な方法で画像データから生成することができる。例えば、画像データの様々な部分は記憶媒体の様々なアレイに記憶されるので、一組のプロセッサノードは、画像データの様々な部分を互いに整列させて(例えば、画像データが取得された部分のウエハの位置に関する情報を使って)、ウエハ全体の画像を生成するように構成してもよい。さらに、ウエハ全体の画像データは全てVIカラムによって記憶されるので、ウエハ全体の画像はVIカラムによって生成してもよいが、一組のプロセッサノードもウエハの一部分のみの画像を生成するように構成してもよい(例えば、ウエハの一部分のみの画像をユーザが要求する場合)。ウエハの一部分は、ウエハ上のダイなど、ウエハのどんな領域を含んでもよい。一組のプロセッサノードは、参照によって組み込まれる、2007年9月14日出願の、Wu氏らによる共有米国特許出願番号第11/855,581号に記載のように、記憶された画像データの様々な部分を使ってウエハの画像を生成するように構成してもよい。本明細書に記載する各実施形態は、本特許出願に記載されるようにさらに構成してもよい。
【0097】
ウエハの画像を視覚化または表示するために、視覚化されるデータをVIまたはVIに接続されるコンピュータのネットワーク上にロードしてもよい。さらにシステムはユーザが画像を見ることができるように、このような画像を表示装置(図示せず)に表示するように構成してもよい。表示装置は当技術分野で周知の任意の適切な表示装置を含むことができる。またシステムは、ウエハの走査によって生成された画像データを全て使って、ウエハ全体の画像を視覚化するように構成してもよい。自動化された全ウエハ撮像検査システムまたはその他の検査システムを使って記録される、インプロセス半導体ウエハ(またはレチクル)の大量の画像(大量の画像データを使って生成される画像)の視覚化は、ウエハや検査システムとウエハの相互作用に関する学習ソースとなることができる。例えば、視覚化ツールは、VI上での画像表示とナビゲーション、オフラインケア領域のセットアップ、データのウエハ画像へのオーバーレイ(例えば、間取り図、機能ブロック、グラフィカルデータストリーム(GDS)デザイン、ノイズマップ、その他の撮像モードを使って生成した画像などのその他の画像)、コンテキストマップ統合の視覚化、強調パターン検索結果の視覚化、GDSにオーバーレイされたデザインアナライザマイクロケア領域パターンサーチの視覚化、ダイ上にオーバーレイされたマイクロケア領域の視覚化、三次元(3D)表面プロット内のウエハパラメータの視覚化(可能であれば、ズーム能力と共に)に使用してもよい。一組のプロセッサノードはまた、画素レベルまでの解像度で、ウエハレベルからダイレベルまでのズームレベルを使って、テラバイトサイズの画像データの視覚化を行うように構成してもよい。一組のプロセッサノードは、ウエハの表面の3D画像を生成し、参照によって本明細書に組み込む、2007年8月10日出願のCooper氏による米国特許出願番号第11/837.204号に記載のように、様々なズームレベルで画像を表示するように構成してもよい。本明細書に記載する実施形態は、本特許出願に記載の任意の方法の任意のステップを行うように構成してもよい。視覚化はUIを使って行ってもよく、それによりユーザは本明細書にさらに記載する、ケア領域定義のための入力、画像/デザインテンプレート提出、そしてコンテキストマップインテグレータのための入力を行うことができる。
【0098】
いくつかの実施形態では、記憶した画像データから生成された画像はノイズマップを含んでもよい。このようないくつかの実施形態では、画像は、参照によって本明細書に組み込まれる、2008年1月17日に米国特許出願公報第2008/0012083号として発行された2007年2月9日出願のKirk氏らによる米国特許出願番号第11/673,150号、2008年1月24日に米国特許出願公報第2008/0018887号として発行された2007年5月22日出願のChen氏らによる米国特許出願番号第11/751,970号、2007年7月30日出願のKulkarni氏らによる米国特許出願番号第11/830,485号に記載される記憶された画像データと方法を使って生成することができる。本明細書に記載する実施形態は全てこれらの特許出願に記載されているように、さらに構成することができる。例えば、一組のプロセッサノードまたは VIカラムは、これらの特許出願に記載のいずれかの方法のいずれかのステップによって構成することができる。
【0099】
一実施形態では、ウエハはパターン化されたウエハを含む。例えば、本明細書に記載する実施形態は、半導体ウエハ上に見られる特殊でパターン化された表面のために生成される画像データに使用することができる。さらに、本明細書に記載する実施形態は、永続的データを使って、表面検査の感度を上げるために、最先端の複雑なパターン化された表面を拡大するために使用することができる。本明細書に記載する実施形態は、ウエハの再走査を必要とせず、検査中に使用される検査システムの調節可能パラメータの調整を可能にすることによって、パターン化された表面の検査システムを簡単に使用できるように改善していくために使用することもできる。さらに、本明細書に記載する実施形態はパターン化されたウエハに特に有利であるが、本明細書に記載する実施形態はまた、パターン化されていないウエハや本明細書に記載するその他の試料にも有利である。
【0100】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使って、ナビゲーションのために物理的ウエハを使わずに、ウエハ全体の画像によってユーザがナビゲートできるように構成される。実施形態はまた、ユーザナビゲーションのために2つ以上のデータソースを統合するように構成してもよい。例えば、学習は、本明細書に記載するシステムによって生成される大量の画像の便利な対話式、統合、高速のユーザガイドナビゲーションと、大量の画像データ(例えば、画像のイズ、検出欠陥など)、シミュレートされた画像、レチクル画像、そしてウエハまたはレチクル上にパターンを作成するために使用された詳細の物理的デザインデータの派生物などのその他のデータソースによって高めることができる。ウエハ画像のナビゲーションは、任意の適切な方法で行ってもよい。一組のプロセッサノードは、参照によって本明細書に組み込まれる、2007年9月14日出願の、Wu氏らによる米国特許出願番号第11/855,581号に記載のように、ウエハの画像を表示し、そして/またはウエハの画像によってユーザがナビゲートできるように構成することもできる。本明細書に記載する各実施形態は、この特許出願に記載のように、さらに構成してもよい。
【0101】
一組のプロセッサノードによって、ユーザはナビゲーションに物理的ウエハを使用せず、ウエハ全体の画像によってナビゲートすることができるので、視覚化とナビゲーションは物理的ウエハ、ステージ、そして光学の使用を必要とせずに有利に行うことができる。ディスクから全体のウエハ画像をナビゲートすることの代わりとなるものは、実際のウエハをナビゲートすることである。関連する例は、物理的な故障解析(FA)に使用される方法論であり、この方法論において、チップの物理的なデザインは、ユーザが物理的ウエハまたはデザインのどちらかからナビゲートできるように、ウエハステージと同期される。このようなウエハ視覚化のいくつかの明確な欠点は、物理的ウエハが占領されることと、使用する器具類が高価なことである。反対に、本明細書に記載する実施形態を使うと、視覚化されるデータは全てVIまたはVIに接続されるコンピュータのネットワーク上にロードされるので、その他のプロセスは物理的ウエハ上で/または器具類を使って有利に行うことができる。
【0102】
一実施形態では、一組のプロセッサノードは、本明細書にさらに述べるように行うことのできる、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成される画像データの全てを使ってウエハ全体の画像を生成するように構成され、一組のプロセッサノードは、画像の局所画像処理と画像の非局所画像処理を行うように構成される。例えば、図2のステップ24に示すように、一組のプロセッサノードは、本明細書に記載するように、画像データを取得してもよい。このような一例では、一組のプロセッサノードは、検出器または検出器に接続する別の一組のプロセッサノードから画像データを受信してもよい。一組のプロセッサノードは、本明細書にさらに述べるように画像データを記憶してもよく、よって、VI記憶層26を作成する。さらに一組のプロセッサノードは、VI記憶層26を前の走査データ28およびデザインデータ30と組み合わせて、ステップ32に示すように、局所または非局所画像処理を行うように構成することができる。しかしながら、一組のプロセッサノードは、VI記憶層26を前の走査データ28またはデザインデータ30と組み合わせて使うように構成してもよい(例えば、点欠陥の検出、ダイスタッキングの実行、比較的大きな欠陥の検出、プロセスシグネチャの検索など、一組のプロセッサノードが行う画像データ処理や機能のタイプによって決まる)。
【0103】
局所と/または非局所画像処理の結果は、多くの異なるやり方(例えば、欠陥検出、1つ以上の欠陥検出パラメータの選択などのための)で行うことができる。例えば、一組のプロセッサノードは、局所と非局所の画像処理の結果を使って、データ取得と/または欠陥検出用の1つ以上のパラメータを選んでもよい。一組のプロセッサノードは、本明細書に記載する実施形態に従い、局所と非局所の画像処理の結果に基づいて、1つ以上のパラメータを選択するように構成してもよい。一組のプロセッサノードは、図2のフィードバックループ34に示すように、選択した1つ以上のパラメータを使って、追加の画像データを取得するように構成してもよい(例えば、画像データを取得する指示と共に、1つ以上の選択されたパラメータを検査システムに送ることによって)。さらに一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データの処理を行い、処理の結果を使って、ウエハの追加画像データを、ウエハの走査によって取得するか、あるいは記憶媒体のアレイから取得するを決めるように構成される。例えば、一組のプロセッサノードは、図2のステップ33に示すように、局所と非局所の画像処理の結果を使ってさらにデータを取得するかどうかを決定するように構成してもよい。さらにデータを取得する場合には、より多くの画像データを取得するためにステップ24を再度行うことができる。さらに、一組のプロセッサノードはステップ24を行い、VI記憶層26を作成し、そしてステップ32を繰り返して行い、検出システムの感度を繰り返し向上させる。ステップ33で、それ以上のデータが取得されないと決定された場合、一組のプロセッサノードは、ステップ35に示すように結果を出力してもよい。
【0104】
このように一組のプロセッサノードは、条件的により多くのデータを取得したり、またはウエハの走査(またはVIに記憶されたデータを使うシミュレートされた走査)がそれ以上必要でない場合には、結果を生成する能力を持つ。例えば、一組のプロセッサノードは、1つの走査からの処理データに基づいて、条件的に追加データを取得することができる。このように、本明細書に記載する実施形態は、条件的なマルチパス欠陥検出を行うように構成してもよい。例えば、本明細書に記載する実施形態は、ウエハの1つの走査、走査からのデータ解析、そしてウエハ上で別の走査を行うべきかどうかの判断を行うように構成してもよい。このような一例では、ウエハは1つの画像モードを使って解析することができ、そしてデータ解析によって、ウエハ上の特定の領域のノイズや欠陥シグネチャが明らかになった場合、それらの領域は別のモードと最終結果を生成する前に解析されたデータを使って、走査することができる。このような種類の条件付データ取得と処理の異なる種類も可能である。
【0105】
一組のプロセッサノードによって行うことのできる図2に示す機能は、その他の検査システムによって行われる機能とは多くの様々なやり方が異なる。例えば、現在使用されているいくつかの検査システムは、画像データの取得、空間的局所画像処理の実行、欠陥レポート(例えば、KLARF)の生成によって欠陥検出を行う。しかしながら、本明細書に記載する一組のプロセッサノードとは異なり、このような検査システムは非局所画像処理を行うことができない、というのも、ウエハ上の非局所領域の画像データはこのようなシステムによって記憶されないからである(例えば、それらはシステムによって生成された比較的大量の画像データを記憶することができない)。非局所画像処理の例として、それらのノイズやダイ間の変動に基づく、異なるダイ領域の異なる領域タイプへのラベリングがある。このようなラベリングには、ダイとウエハの全ての部分からのデータを解析することが必要である。このようなラベリングは本明細書にさらに記載するように行ってもよい。さらに、本明細書に記載する一組のプロセッサノードとは異なり、このような検査システムはデータの複数のストリーム(例えば、記憶された画像データ、前の走査画像データ、デザインデータなど)を使って欠陥を検出することができない。よって、本明細書に記載する一組のプロセッサノードは、欠陥検出などの機能を行うために、局所と非局所画像処理の両方の実行と、データの複数のストリーム(例えば、前の走査画像データ、現在の走査画像データ、デザインデータなど)の使用の双方を有利に行うことができる。
【0106】
別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データとウエハへの少なくとも1つの追加ソースのデータを使って、ウエハ画像を生成するように構成することができる。このように、一組のプロセッサノードはマルチチャンネル画像融合を行うように構成してもよい。ウエハのデータの追加ソースには、画像融合の際に利用できる任意の情報を含んでもよい。このようなデータソースには、デザインデータ、デザインレイアウトを使ってオフラインで生成されるダイのコンテキストマップ、画像からオフラインで生成されるダイのコンテキストマップ、欠陥検出結果、検査システムの様々な検出チャンネルによって生成される画像データを使って生成される欠陥検出結果、ウエハの複数の(様々な)走査によって生成される画像データ(例えば、検査システムの様々な撮像モードを使って行われる複数の走査)、ウエハ上にパターンを印刷する際に使用されるレチクルに関する情報などを含が含まれるが、これらに限定されない。
【0107】
マルチチャンネル画像融合には、データの複数のソースの単なるオーバーレイは含まれない(このようなオーバーレイは本明細書に記載するシステムによって行われてもよいが)。代わりに、マルチチャンネル画像融合には、データの複数のソースを組み合わせた合成画像の生成を含むことができる。マルチチャンネル画像融合は、参照によって本明細書に組み込まれる、2007年__出願のChen氏らによる米国特許出願番号第11/_、_(56197200−474,P2722)に記載のように行ってもよい。さらに上述のように、一組のプロセッサノードは、ユーザがウエハ画像全体を使ってナビゲートできるように構成してもよい。このような画像には、本明細書に記載するもののいずれかを含んでもよい(例えば、マルチチャンネル画像融合によって生成された画像)。よって、本明細書に記載する実施形態は、デザイン、欠陥、レチクルなどの画像ソースの統合の可能性を持つウエハ画像ナビゲーション全体に使用することができる。さらに、一組のプロセッサノードは、画像融合によって生成された画像を使って欠陥を検出するように構成してもよい。
【0108】
記憶された画像データを使って本明細書に記載する実施形態の何れかに従って生成されたウエハの全ての画像は、記憶媒体のアレイまたはその他の適切な記憶媒体にも記憶することができる。例えば、一実施形態では、一組のプロセッサノードは、検査システムの複数の撮像モードで取得されたウエハの画像をアーカイブするように構成される。このように、複数の撮像モードを使って取得した画像データから生成された全体のウエハ画像はディスクに記憶してもよい。さらに、本明細書に記載する実施形態は、アーカイブされたウエハ画像を使って1つ以上の機能を実行するように構成してもよい。例えば、一実施形態では、アーカイブされた画像は検査レシピのモード選択に使用することができる。このようなアーカイブされた画像は、本明細書にさらに述べるように、ウエハの検査のために、検査システムの1つ以上のパラメータ (例えば、光学モード)を選択するために使用してもよい。さらに、VIはアーカイブされた画像を使って検査レシピのためのモード選択を行うように構成してもよい。あるいは、アーカイブされた画像を、画像を使って検査レシピのためのモード選択を行うように構成された別のシステムに提供してもよい。
【0109】
さらに一組のプロセッサノードは、BFの比較的小さな画素検査を使って取得したウエハ画像のアーカイバルを行うように構成してもよい。例えば、一実施形態では、検査システムはBF小画素検査システムとして構成され、一組のプロセッサノードは、検査システムによって生成されたウエハの画像をアーカイブするように構成される。「小さな画素」は本明細書では約100nm未満のサイズの画素のことをいう。このようなアーカイブされた画像は、本明細書に記載する1つ以上のアプリケーションを行うために使用してもよい。例えば、一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データのオフライン画像解析を行って、検査システムよりも低い処理量と高い画像度を持つ別の検査システムの検査サンプルプランを識別するように構成される。このようなオフライン画像解析は、アーカイブされたBFの比較的小さな画素検査画像を使って行ってもよい。
【0110】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使って検査レシピを調整するように構成される。例えば、一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分を使って、ウエハの検査のために1つ以上の欠陥検出パラメータを選択するように構成される。1つ以上の欠陥検出パラメータには、欠陥検出方法またはアルゴリズム(例えば、1つ以上の閾値)の任意の調節可能なパラメータを含んでもよい。1つ以上の欠陥検出パラメータは、本明細書に記載するいずれかの実施形態に従って、画像データの全てまたは画像データの選択された部分を使って選択することができる。従って、比較的少量の画像データのみ、またはウエハの比較的小さな部分のみを使う、欠陥検出パラメータの選択と/または調整のために現在使われている方法とは異なり、本明細書に記載する実施形態は、ウエハ全体またはウエハ全体のほとんどの部分の記憶された画像データを使って、欠陥検出パラメータの選択を行うことができる。このような相当量の画像データの使用は、欠陥検出パラメータの選択を大きく向上させることができる(例えば、それによって、より感度のよい検査レシピ、関心欠陥(DOI)に対してより感度の高い検査レシピ、ノイズの抑制により効果的な検査レシピなどが生成される)。
【0111】
いくつかの実施形態では、一組のプロセッサノードは、ウエハの追加走査を行うことによって生成される追加の画像データを必要とせずに、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データを使って、ウエハ検査のための1つ以上の欠陥検出パラメータを選択するように構成される。このようにして、本明細書に記載する実施形態によって、記憶されたデータ再生を使ったアルゴリズムの調整が可能となり、よって、それができなければターゲットウエハの再走査に必要となるであろう、貴重な検査システムタイムが自由に使えるようになる。特に、VIは、ツールまたはウエハを必要とせずに、後の再生のためにウエハ画像をディスクに記憶する。ウエハ画像は、まるでウエハがツールにロードされたかのように走査することができるので、ユーザは特定の光学モード/光レベルのためにレシピを最適化することができる。例えば、検査システムはレシピ最適化プロセスのモード探査段階中のみに必要とされるかもしれない。最終モードが一旦選択されると、ウエハ画像をディスクに保存するために、ウエハは一回の追加時間に走査されるだけかもしれない。最終レシピの最適化は全てVIを使ってオフラインうことができる。
【0112】
一組のプロセッサノードは、ウエハの追加走査を行うことによって生成される追加画像データを必要とせずに、1つ以上の欠陥検出パラメータを選ぶことができるので、一組のプロセッサノードはオフラインでパラメータのアルゴリズムの調整を行うことができる。このようにして、システムはオフラインによるレシピのセットアップのために構成してもよい。特に従来自動検査システムのセットアップにはウエハの繰り返し検査(走査を含む)が含まれる。VI上の画像は実際のウエハのプロシキとして機能することができるので、よって、ウエハアクセスまたはステージや光学メカニカルのサブシステムを有する完全な検査システムを持つという制約が緩和される。一旦大量の画像が記憶されると、ユーザまたはシステムは検出アルゴリズム設定を最適化することができる、というのも、VIは、画像が取得された物理的ウエハよりもむしろ、記憶された画像で動作できるからである。
【0113】
オフラインレシピセットアップの記憶された画像データを使って、物理的ウエハを必要としない新しい検査を行うことによって、「新しい」欠陥を検知することができる。よって、オフラインレシピ設定には、ウエハや検査システムの存在しない新しい欠陥の検出を含んでもよい。このようにして、1つ以上の欠陥検出パラメータの選択は、ウエハの追加走査を必要とせず、そしてウエハの検査中に検出されるこれらの欠陥に制限されることなく、行うことができる。特に、未加工の画像データが記憶されるので、オフラインセットアップの間に複数の欠陥検出アルゴリズムを未加工の画像データに適用させることができ、そして、複数の欠陥検出アルゴリズムは、欠陥が1つ以上の欠陥検出パラメータの調節によって削除されるだけでなく、1つ以上の欠陥検出パラメータの調節によって発見もできるように、感度を上げることができる。
【0114】
1つ以上の欠陥検出パラメータは、記憶された画像データをデータの別のストリームと組み合わせて使って選択してもよい。例えば、記憶された画像データとデータの別のストリームは画像融合を行うために使用してもよく、そして1つ以上の欠陥検出パラメータは画像融合によって生成された合成画像に基づいて選択してもよい。このようにして、欠陥検出アルゴリズムの感度は、画像融合の結果に基づいて選択することができる。さらに、本明細書にさらに述べるようにして行われる、マルチパス欠陥検出に使用される複数の欠陥検出アルゴリズムの感度は、画像融合の結果を使って選択することができる。さらに、オフラインセットアップを本明細書でさらに述べる「仮想FA」のコンセプトと組み合わせることによって、周知の「キラー」欠陥に選択的な検査レシピの決定論的な作成を可能にする。
【0115】
検査レシピの1つ以上の他のパラメータも、本明細書に記載するように、記憶された画像データを使って選択してもよい。例えば、記憶された画像データを使ってオフラインで選択することのできる検査プロセスの1つ以上のパラメータには、記憶された画像データを使って自動的にセットアップすることのできるケア領域、ダイサイズ、そして自動ジオメトリセットアップが含まれるが、これらに限定されない。さらに、自動感度と/または欠陥検出アルゴリズム設定のために、ワンステップ閾値セットアップ(OSTS)とレビュー情報を比較的解像度の高い画像システムにフィードバックすることができる。さらに、本明細書に記載する記憶された画像データは、一組のプロセッサノードまたは新しい欠陥検出アルゴリズムの開発、新しいソフトウェアの保証、そしてデータの完全性の検証を行う別のシステムで使用することができる。例えば、VIは、製品検査システムの統合を対象とした高度な特徴の評価の際に、完全な検査システムのプロキシとして使用することができる。従って、ほとんどの特徴には検査システムを使わずに、新しい特徴の特徴付けを行うことができる。VIはプロキシとして、ソフトウェア開発サイトで一般的に利用できないウエハとデザインで、エンジニアが新しいソフトウェアの試験を行えるようにする。さらに、新しいプラットホームと新しいソフトウェアの特徴付けのために、VIは、ツールタイムを必要とせずに、ソフトウェアの特定の特徴のほとんどが特徴付けされるようにする。同様に、VIはアルゴリズム(例えば、欠陥検出アルゴリズム)の特徴付けに使用することができる。さらに、特徴付けされる様々なアルゴリズムは、同じウエハのために記憶した同じ画像データを使って比較してもよい(つまり、「apples対apples」のような類の比較)。このようなプロジェクトの結果により、機能性は検査システムと、場合によってはVI製品に統合することができる。
【0116】
このようなオフラインによるレシピのセットアップは、レシピセットアップの他の方法よりも多くの利点がある。例えば、現在使用されているいくつかのオフラインレシピセットアップ方法では、ウエハ全体の検査ソフトウェアのオフラインシミュレータには、実質的に感度のよい検査からデータが供給され、ユーザはニュイサンス欠陥の満足いくフィルタリングが達成されるまで、検出アルゴリズム設定を調節する。しかしながら、従来の検査では、物理的ウエハがレシピの最適化のために存在することが必要とされる。VIを使うと、ユーザは物理的ウエハを他の動作に使用しながら、記憶されたウエハ画像で欠陥検出を続けて行うことができる。特に、一旦ウエハ画像が記憶されると、VIはスタンドアロンモードで機能することができる。
【0117】
さらに、レシピのセットアップはオフラインのみで行うことができるわけではなく、検査システムから離れた場所でも行うことができる(例えば、ファブの外、別の施設、検査システムメーカの施設)。例えば、記憶された画像データは、何マイルも離れたところ、国の反対側、または別の国などにある検査システムメーカの製造サイトのアプリケーションエンジニアに送ることができる。従って、検査システムのユーザは、熟練者のいる場所に関係なく、検査レシピ設定の「熟練者」による支援を受けることができる。
【0118】
このような一例として、検査システムは、ウエハがレシピを必要とする場合に、離れたアプリケーションチームに自動的に信号を送るように構成してもよい。カスタマーの一番良く知っている方法(BKM)を、ウエハの画像データの生成と記憶に使用してもよい。ウエハが欠陥レビューシステムに到着すると、信号が離れているアプリケーションチームに自動的に送られ、ウエハのレビューと最適化の準備ができたことをチームに知らせる。離れているアプリケーションチームは、ウエハ上の欠陥を調べ、そしてそのレシピを検査システムに保存することによって、レシピを最適化することができる。レシピの改善が必要な場合には、レビューによって識別されたDOIにタグをつけ、そして、光学的選択と再走査のために、ウエハを検査システムに送り返すことができる。
【0119】
さらに、ユーザが前に検出された欠陥セットの検討に拘束されるため、前のセットアップ方法を使って、検査レシピに対するオフライン変更の全体的な影響を評価することはできない。特に、ウエハの未加工の画像データは保存されずに欠陥検出の後に捨てられるので、レシピのセットアップに使用することのできる唯一の欠陥は検査中に検出されたものである。よって、レシピの感度を増やすことはできず、古い方法を使って感度を落とすことしかできない。特に実行することのできる唯一の変更は、以前検出された欠陥が検出されないように、1つ以上の欠陥検出パラメータを変えることである。
【0120】
本明細書に記載する実施形態もまた、検査アルゴリズムを調整するためだけに複数の走査を行う必要のないようにすることによって、ウエハの損傷またはコンタミネーションのリスクを軽減する。例えば、さらに上に示すように、一組のプロセッサノードはウエハや検査システムを使わずに、よって、ウエハをさらに放射線にさらすことなく、ウエハ上の新しい欠陥を検出することができる。このようにして、本明細書に記載する実施形態は、ウエハの照明関係の損傷を防ぐことができる。例えば、現代のウエハ検査システムは、比較的高いエネルギーと比較的強い照明源を使用するので、検査する基板を実際に変えてしまうことがある。このような変化は、反復の検出アルゴリズム設定最適化プロセスの際に複数の走査が必要とされる場合には、特に問題である。従って、ユーザには自分たちの作業によってウエハが変わってしまうというリスクがある。このような変化の一例に、強い紫外線(DUV)光にさらされた結果としての、フォトレジスト寸法の変化がある。これらの状況における最も一般的な反応は、照明の強度またはエネルギーを制限することである。しかしながら、本明細書に記載するように、ウエハの記憶された画像データのアルゴリズム設定を調整することによって、この問題を回避することができる。例えば、UV光にさらされたり、走査型電子顕微鏡(SEM)で調べると「焼ける」ウエハのレシピ最適化には、VIが特に有利である。これらの層、主に現像後検視(ADI)とバックエンドプロセス(BEOL)エッチング層に関しては、レシピ最適化プロセスの開始時に、全ての可能性のあるモードを保存することができる。このように、ウエハの焼損を避けるために、VIをレジストで覆われたウエハの検査レシピのセットアップに使用することができる。一旦ウエハ画像が保存されると、SEMレビュー焼損はレシピの最適化プロセスには無関係となる、というのも、「元の状態の」ウエハの画像は保存されたからである。よって、ユーザにはウエハ走査のために使用される照度エネルギーや強度の調整が強いられない、とうのも、一旦ウエハ画像データがVIに記憶されると、この動作はオフラインセットアップまたはレビューツールリンケージを使ったオフラインセットアップと同じだからである。例えば、本明細書にさらに述べるように、一組のプロセッサノードはVIのウエハの画像をキャプチャするように構成してもよい。さらに、一組のプロセッサノードは、本明細書にさらに述べるように、V1やおそらくレビューシステムを使ってレシピを最適化するように構成してもよい。
【0121】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使ってウエハ上の2つ以上の異なるタイプの領域を識別することにより、そして記憶媒体のアレイに記憶された画像データを使って2つ以上の異なるタイプの領域の1つ以上の欠陥検出パラメータを別々に決めることによって、ウエハ検査のための1つ以上の欠陥検出パラメータを選択するように構成される。例えば、本明細書に記載する実施形態は、in−situ後処理アプリケーションのために画像データを記憶するために使用するように構成することができる。特に、本明細書に記載する実施形態は、ウエハスケールでin−situ後処理に使用することができ、これによって、システムから(潜在的に)かなり大量のデータを引き出すことなく(付随するレイテンシーと共に)、そして後処理を行う必要なく、「in−situ」(すなわち、分散ノードアーキテクチャ内)での記憶されたデータの強力な分散後処理が可能となる。
【0122】
このようにして、本明細書に記載する実施形態は、本明細書にさらに述べるように、解析のために演算システムの間で大量のデータを転送する必要なく、レシピの調整に使用することのできる莫大な量の異常値とノイズデータを収集するために、本明細書に述べる記憶媒体を利用して、ウエハ走査中にデータを保持するために使用することができる。さらに、レシピ調整中に保存された莫大な量のデータのin−situ後処理を行う本明細書に記載の実施形態は、レシピ調整の速度を上げ、そしてシステムが莫大な量のメモリを利用して、より良い欠陥検出パラメータ(例えば、閾値)決定のために大量のデータを記憶できるようにする。
【0123】
さらに、本明細書に記載する他のアプリケーションもin−situ後処理によって行うことができる。例えば、走査中、メモリに情報を保持し、そしてノード内にin−situ後処理を行うためのフレキシブルなソフトウェアの下部構造を提供する能力によって、より高い感度のマルチ走査欠陥検出、系統的検出モニタリングのためのダイ・ツー・スパース(sparse)参照基準と一緒になった、同時に起こるランダムな欠陥のためのダイ・ツー・ダイ検査、ウエハ/ロットレベルでのウエハスケールノイズシグネチャモニタリング、そしてインテリジェントサンプリングによるより速いレシピセットアップが可能になる。
【0124】
ウエハスケールでのin−situ後処理の1つのアプリケーションは、ニュイサンスやノイズではなく、現実の欠陥を検出するためのレシピセットアップと閾値の調整である。ノイズは、撮像システム(散弾雑音または残留ミスアラインメントノイズ)または膜厚の変化によるダイ・ツー・ダイのばらつき、金属粒子のばらつき、エッジ粗さのばらつき、光学力の僅かな変化など、プロセスノイズなどの結果として生成される。それらの生来のジオメトリのため、ダイの特定の部分は他の部分よりも本質的にノイズがあり(ダイ・ツー・ダイのばらつき)、ダイの表面を低、中、高のノイズレベルを持つ領域に分類して、それらの各領域で、様々な閾値(オフセット)をそれらの領域の各画素に適用できるようにするのが有用である。よって、ウエハ全体の「ノイズ」マップを生成して、ウエハ上のダイ全体にわたる領域の測定ノイズを比較して領域を分類できるようにする。
【0125】
しかしノイズベースの領域分類は単なる第一ステップである。領域の分類後、そこでダイ・ツー・ダイのばらつきがその領域のタイプのノイズのいくつかの閾値を超える「異常値」または画素を検出することができる。各領域タイプの適切な閾値(またはオフセット)を決めるために、異常値をウエハ全体に置き、そして、最も「卓越した」異常値をレビューのために選択して、適切な閾値を設定することができる(例えば、欠陥検出閾値を最大から最小の欠陥信号を使って選択する、よって、「上から下に作用する(working down from the top)」とする)。このようにして、一組のプロセッサノードは、領域ラベリング、初期閾値の選択、枚葉式ウエハ走査を使ったレシピ調整のためのサンプル生成を組み合わせて構成してもよい。よって、一組のプロセッサノードは枚葉式ウエハの走査を使って、検査レシピ調整のために、ノイズデータの収集と異常値のインテリジェントサンプリングを組み合わせることができる。このコンセプトは、本明細書にさらに述べるように、2つ以上の走査に一般化することができる(すなわち、いくつかのホット走査を、様々な光学モードで実行することができ、そして2つ以上のモードの間で比較的高い相互相関を有するイベントをフラグすることができる)。もちろんこれは、記憶が様々なノードに収集されたパッチ画像の間で共有される可能性があることを意味している。
【0126】
このような一実施形態において、8つのノードは検出器からのデータの1つのスワースを処理する、すなわち、各ノードが、サブスワースと呼ばれるスワースの1/8を処理すると仮定する。各サブスワースは互いに接する画像データのフレームで構成されると仮定する。一般的なフレームのサイズは約512画素x約512画素である。いくつかのノイズは各フレームの画素を使って計算されるものとする。ノードは、それらのローカルメモリの中に各欠陥を囲む画像パッチとともに、N欠陥を記憶することができるものとする。
【0127】
処理シーケンスの一実施形態を図3に示す。図3で、「ホスト」コンピュータは特定の機能がノード上で実行されるように命令し、全体のノードメモリデータの実質的に少しの部分が実際にホストに送られる。特に、図3に示す処理シーケンスは、領域ラベリング(ノイズを使った)と異常値(欠陥)を使った領域毎に閾値を設定したin−situ後処理シーケンスであり、これをレシピの調整に使うことができる。図3に示すように、センサ36は画像データ38を生成する。画像データ38はノード40に送られる、ノード40は一組のノードの中の1つのノードである。画像データの各サブスワースはその組の中の1つのノードによって処理される。従って、図3に示すノードによって行われるステップはその組の各ノードによって行われる。ノード40とそれの含まれる一組のノードはさらに本明細書に記載するように構成してもよい。あるいは、ノード40に送られる画像データは記憶された画像データを含み、記憶された画像データは、本明細書にさらに述べるように、VIに記憶することができる。このようにして、図3に示す方法は、VIに記憶される画像データを使って、VIによって全体的に行うことができる。このような実施形態では、VIは、本明細書にさらに記載するVIカラムの各プロセッサノードに接続されるホストコンピュータを含んでもよい。
【0128】
図3に示すように、画像データ38を受信すると、ホストコンピュータ42は開始コマンド44をノード40に送る。画像データと開始コマンドを受信すると、ステップ46で、ノード40はフレーム毎のノイズの大きさを記録する。さらにステップ46で、ノード40はパッチ画像と共にトップN異常値(欠陥)を記録する。ステップ48で、ノード40はウエハのフレームノイズの統計値を計算する。ステップ50で、ウエハ走査の最後に、ノード40は、ホストコンピュータ42にフレームノイズサマリをアップロードする。ステップ52で、ホストコンピュータ42は、そのノイズ特性に基づき、各フレームに領域タイプのラベルを貼る。ステップ54で、ホストコンピュータ42はフレームラベルをノード40(そしてその組の各他方のノード)にダウンロードする。
【0129】
ステップ56で、ノード40は各フレームのラベリングに基づき、異常値を領域タイプに分割する。ステップ58で、ノード40は各領域タイプの欠陥の特徴ヒストグラムを計算する。ステップ60で、各ノードは、ホストコンピュータに領域タイプ毎の欠陥特徴ヒストグラムをアップロードする。ステップ62で、ホストコンピュータは全てのノードにわたる各領域タイプのヒストグラムをプールする。ステップ64で、ホストコンピュータは各領域タイプの閾値(オフセット)を計算し、ユーザインタラクションが必要な場合には、ヒストグラムを表示する。ステップ66で、ホストコンピュータは各領域タイプの閾値(オフセット)を各ノードにダウンロードする。
【0130】
ステップ68では、ノードは閾値を超える欠陥(パッチ)を決定し、レビューのためにサンプルを作成する。ノードによって行われるこの最後のステップの終わりに、各領域タイプの閾値/オフセットを超える欠陥パッチと異常値の場所がホストコンピュータに送られる。例えば図3に示すように、ステップ70では、各ノードはレビューのために欠陥のサンプルをアップロードする。ステップ72では、ホストコンピュータは各ノードによってアップロードされた欠陥をプールして、最終レビューサンプルを選択する。この最終レビューサンプルはSEMのようなレビューシステムでどの欠陥をレビューするのかを決定するためにさらにサンプリングすることができる。欠陥レビューの後で、閾値の最終調整を行うことができる。
【0131】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶される、ウエハの走査中に検出器によって生成された画像データを全て使ってウエハ全体の画像を生成するように構成され、そして一組のプロセッサノードは、レビューシステムによって生成されたウエハの画像と出力が、ウエハ全体の画像を使って、そして検査システムによって追加の画像データを生成する必要なく、ウエハ検査のために1つ以上の欠陥検出パラメータを選択するために使用することができるように、ウエハ上の欠陥がレビューされるレビューシステムに画像を送るように構成される。このようにして、システムはレビューシステムとのリンケージによるオフラインレシピセットアップのために構成してもよい。VIとレビューシステムは、VIが効率的な方法で画像をレビューシステムに送ることができるように、高速ネットワークによって接続することができる。
【0132】
光学全ウエハ検査システムのレシピセットアッププロセスにはしばしば、高解像度のポイント・ツー・ポイント撮像システム(SEM、集束イオンビーム(FlB)または原子間力顕微鏡(AFM)レビューシステムなど)を使用することが要求される。プロセスはたいてい反復され、設定に使用されるウエハには、検査システムとレビューシステムとの間を行き来することが要求される。
【0133】
しかしながら、(例えば、「有線」と/または「無線」送信媒体を含む高速ネットワーク接続のような1つ以上の送信媒体を介して)レビューシステムに接続されるVIを使うと、ユーザはレビューシステムで物理的ウエハを撮像しながら、同時に「光学空間」(または、光学的に取得される記憶された画像データによって生成されたウエハの画像)内の「仮想ウエハ」にアクセスすることができる。さらに、ウエハ全体の未加工の画像データはウエハの画像を生成するために記憶、そして使用することができるので、レビューシステムに表示されるウエハの画像は、レビューシステムによって光学画像が生成されたかのように現すことができる。例えば、レビューシステムは、ユーザ(またはレビューシステム)が、記憶されたデータを使って生成されたウエハの光学画像によってナビゲートできるように構成することができる(例えば、光学画像の様々な部分を見るために)。さらに検出アルゴリズムの設定は、物理的ウエハのある「SEM空間」内で検出された欠陥を観察しながら、生成された光学画像に反復的に適用することができる。このようにして、記憶された画像データから生成された光学画像は、欠陥レビューシステムをレビューされる欠陥のある場所へ「駆り立てる」(drive)ために使用することができる。さらに、レビューシステムは、様々な欠陥検出アルゴリズムをウエハの記憶された画像データに適用することによってVIとして機能することができ、そして、物理的ウエハを使ってレビューツールとして機能することができる。よって、レビューシステムは基本的に2つの異なるツールとして機能することができる。そのうちの1つは仮想ツールである。さらに、検査システム能力/機能性のほとんどをSEMで利用することができる。このようにして、本明細書に記載する実施形態は、ウエハの繰り返される検査によって取得される画像データの代わりに、記憶された画像データを使って、レビュー検査サイクルの最適化(RICO)に使用することができる。特に、VIとSEMは全面的なレシピの最適化(例えば、検査レシピの任意パラメータの最適化)のためのRICOのために、一緒に使用することができる。このような一例として、RICOを実行するように構成されたコンピュータシステムは、本明細書に記載するマスストレージを有する検査システムUIと置き換えることができる。
【0134】
さらに、上述のオフラインレシピセットアップのように、レビューシステムリンケージを使ったオフラインレシピセットアップ中に記憶された画像データを使って、物理的ウエハを必要とせずに新しい検査を実行することによって、「新しい」欠陥を検出することができる。よって、レビューシステムリンケージを使ったオフラインレシピセットアップには、ウエハや検査システムを使わない新しい欠陥の検出を含むことができる。このようにして、1つ以上の欠陥検出パラメータの選択は、ウエハの追加走査を必要とせず、そしてウエハの検査中に検出された欠陥に制限されずに行うことができる。特に、未加工の画像データが記憶されるので、オフラインセットアップ中に複数の欠陥検出アルゴリズムを未加工の画像データに適用することができ、そして、複数の欠陥検出アルゴリズムは、1つ以上の欠陥検出パラメータを調整することによって、欠陥が除去されるだけでなく、発見もされるように、感度を上げることができる。
【0135】
その一方で、レビューシステムリンケージを使った現在使用されていオフラインレシピセットアップでは、オフラインレシピ最適化(完全なウエハ検査システム用のシミュレータを使った)に高解像度ポイント・ツー・ポイントレビューシステムを使う1つのアプローチが、レビューシステムにウエハをロードする前に検査システムで検出された欠陥の調査に拘束される。しかしながら、レビューツールを使って検査システムにオフライン変更を行う全体的な影響は評価することができない、というのも、ユーザは前に検出した欠陥の考察に拘束されからである。例えば、この方法を使うと、レシピは感度を高めることができず、低くなるのみである。
【0136】
本明細書に記載する実施形態は、1つの検査レシピの結果を他の検査レシピの結果と比較するためにも使用することができる。2つの検査レシピは完全に異なることもある。このようにして、本明細書に記載する実施形態は、2つの完全に異なる検査レシピのパフォーマンスを、便利にそして素早く評価することができる。例えば、本明細書に記載する実施形態は、従来の検査アプローチからの信号ノイズ比(S/N)と欠陥パレートチャートの歩留まり関連性(例えば、その中で、欠陥カウントはデザインまたは領域の関数としてプロットされる)を「マイクロケア領域」(目の詰まった、密度の高い、そして高解像度のケア領域)を含むコンテキストベースの検査テクノロジーを適用することによって達成できる結果との比較に使用することができる。コンテキストベースの検査テクノロジーは、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、2006年11月20日出願の、Kulkarni氏らによる共有の米国特許出願番号第11/561,735号に記載の、コンテキストベースの検査方法とシステムのいずれかを含んでもよい。さらに、2つの検査レシピは同じウエハの同じ記憶された画像データを使って比較してもよい。
【0137】
このような一例では、VIを新しいソフトウェアビルドのベースライン試験に使うことができる。ベースラインは、全く同じウエハ画像を走査する古いソフトウェアビルドと新しいソフトウェアビルドを使って補正することができるので、ベースライン比較をできるだけ正確に行うことができる。さらに、ベースラインウエハのライブラリはベースライン試験のために生成して使用することができる。ウエハ画像は両方のソフトウェアビルドで同じなので、低いキャプチャレート欠陥はもはや低くなくなる。また、コンタミネーションの問題もなくなる。特に、ウエハはプロジェクトの継続中、インハウスにとどまる必要はない。
【0138】
深いサブ波長構造における最先端のプロセステクノロジーの高度のロジック製品の欠陥検出と欠陥データ解釈への挑戦によって、従来の検査テクノロジーを使って可能なものよりもかなり高い詳細レベル(例えば、マイクロケア領域を使った)での統合デザインコンテキストの潜在的な利点の調査が行われた。VIはマイクロケア領域の利点の生成と評価に使うことができるので、VIを製造や開発に使用する場合には、デザインからマイクロケア領域の価値を理解するために使用することができる。
【0139】
このような比較は多くの様々な目的のために行うことができ、多くの様々なアプリケーションに使用することができる。例えば、現在の感度調整とケア領域テクノロジー(マニュアルと/またはデザインから生成)と比較して、このような比較の結果は、高度のマイクロケア領域テクノロジーとセットアップと検出のアプリケーションとを組み合わせて、ウエハ検査の感度を向上させるために使用してもよい。このような一例で、VIは全体的な歩留まりマネジメント方法論で実質的な向上を提供するために必要とされる、マイクロケア領域の解像度と周波数を識別するために使用することができる。セットアップと検出アプリケーションには、参照によって本明細書に組み込まれる、Bevis氏による米国特許番号第6,886,153号に記載のレシピを使った半導体のデザイン先導検査または測定を含んでもよい。本明細書に記載する実施形態は、本特許に記載の任意のステップと方法を行うように構成してもよい。セットアップと検出アプリケーションはまた、自動領域ベースのマルチ閾値(RBMT)を含んでもよく、これは、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号に記載のように行ってもよい。
【0140】
さらにセットアップと検出のアプリケーションは、ルールベースの検査(RBI)を含んでもよい。これは、インテリジェント・デフェクト・オーガナイザー(iDO)内のマルチプルダイ自動閾値化(MDAT)欠陥検出を含む検出スキームである。例えば、RBIでは、DOIを「ホット」閾値で検査することができ、ニュイサンス欠陥が「コールド」閾値で検査できるように、様々な閾値を様々な欠陥ポピュレーションに設定することができる。従って、RBIは、比較的低い信号キラー欠陥のキャプチャを増やし、そして比較的高い信号ニュイサンス欠陥のキャプチャを減らすことによって、検査感度に飛躍的な進歩を提供する。例えばRBIは、iDOルールまたはルールの組み合わせに基づいて、検査閾値/セグメンテーションを設定することにより、既存のiDOフレームワークをうまく利用することができる。これらのルールは、欠陥サイズ、極性、コンテキスト、デザイン情報などを含む、任意の属性ベクトルまたは特徴ベクトル(例えば、一番近い隣接ノードの形で)から作成することができる。iDOについては、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号に記載される。
【0141】
このような一例では、欠陥は、極性などの欠陥の1つ以上の特性に基づいて分離することができ(例えばiDOを使って)、そして1つの閾値を欠陥の1つのグループ(例えば、欠陥の明るいグループ)に適用してもよい。欠陥の1つのグループ(例えば、欠陥の暗いグループ)は、それらが位置するパターンに基づいてさらに分離してもよく、そして様々な閾値を様々なパターンでの欠陥に適用することができる。MDATは、欠陥の各グループに適用される閾値の決定に使用してもよい。このようにして、欠陥ポピュレーションをコンテキストと極性に基づいて分けることができ、そして、異なる閾値を各区分に適用することができる。
【0142】
さらに、RBIは、検査システムによって抽出することのできる欠陥の属性ベクトルまたは特徴ベクトルのいずれかに基づいて、任意のルールに適合する欠陥の様々な閾値と/または閾値のセグメントまたはタイプを設定する能力を持つ。特に、閾値のコンセプトは、欠陥タイプの一部分をフィルターにかけるために使用することのできる、任意のアルゴリズムに一般化することができ、そして、上述の欠陥ポピュレーションの1つのサブセットのみに適用される。さらに閾値コンセプトは、例えそのアルゴリズムが欠陥タイプの1つのサブセットのみの最適性能しか持っていなくても、任意のアルゴリズムによって作成または決定された属性を含むように一般化することができる。例えば、エネルギーはカラーバリエーションによるニュイサンスのフィルタリングには適しているが、その他のニュイサンスタイプには適していない。
【0143】
RBIは有利である、というのもキラーDOIはかなり低い信号を有する場合があるからである。従って、現在使用されている方法では、このようなキラー欠陥をキャプチャするために閾値を下げると、かなり高いニュイサンスレートになる。特に、最も高い信号欠陥は、例えば粒子などの現実の欠陥である。閾値が下がるにつれて、相当な数のニュイサンス欠陥がキャプチャされる。よって、キラー欠陥の著しいキャプチャはかなり高いニュイサンスレートを生じさせる。しかしながらRBIは、比較的低い信号を持つキラー欠陥の位置するウエハの領域に、低い閾値を選択的に適用させるために使用することができ、よって、ニュイサンスキャプチャレートを上げずに検査の感度を上げることができる。
【0144】
RBIはまた、有利である、というのも、ニュイサンスのフィルタリングは、(例えば、検査システムの検出器またはプロセッサノードの別のカラムから)画像データが受信される際に、一組のプロセッサノードで行うことができるからである。特に、ルールは一組のプロセッサノード(画像コンピュータ)で実行することができる、これには本明細書に記載するプロセッサノードの任意の組を含むこともできる。例えば、セットアップと検出アプリケーションには、一組のプロセッサノード(「リーフ(Leaf)画像コンピュータ」)(領域を含む欠陥属性を使う検出中の高容量フィルタリング能力)でのニュイサンスイベントフィルタリング(NEF)を含むことができる。特に、iDOニュイサンスフィルタは、本明細書に記載するように構成される一組のプロセッサノード(例えば、プロセッサノードのVIカラムまたはプロセッサノードの別のカラム)で実行することができる。このようにして、ニュイサンスイベントは、欠陥検出が完了した後ではなく、一組のプロセッサノードによって画像データが受信される際にフィルタリングすることができる。特に、欠陥は、欠陥属性などの情報が入手された直後(例えば、欠陥が検出され次第)に、現実のものまたはニュイサンスとして分類することができる。一実施形態では、検出アルゴリズムとニュイサンスフィルタリングアルゴリズムは両方とも一組のプロセッサノードで作用する、しかもそれらは最初に検出で、次にニュイサンスのフィルタリングというふうに、2段階で欠陥をフィルタリングする。しかしながら、単一アルゴリズムが両方の機能を行う実装を有することは可能である。
【0145】
RBlのセットアップには下記のステップが含まれる。ユーザは、最終目標のニュイサンスレートよりも高いニュイサンスレートで走査を行う。ロット結果をiDOセットアップUIにロードする。iDOクラシファイアが作成され、閾値/セグメントが異なるルールに対して設定される。様々な閾値化のテクニックを様々な欠陥ポピュレーションに適用することができる。例えば、アレイ領域の小さな信号ノイズ比の値(SNV)をMDAT閾値でフィルタリングしながら、オープン領域の色欠陥をエネルギーでフィルタリングすることができる。iDOクラシファイアはエクスポートされる。iDOクラシファイアは、通常の検査実行の一部として、検査システムで実行される。
【0146】
このようなニュイサンスフィルタリングは多くの理由によって有利である。例えば一組のプロセッサノードでiDO NEFを行うと、現実の欠陥を保存する可能性が増える。さらに、iDO NEFをホストコンピュータから一組のプロセッサノードに移動させると、処理量の影響がない。代わりに、一組のプロセッサノードを使ってiDO NEFを行うと、処理量が有利に向上し、システムのボトルネックが減少し、ニュイサンス欠陥が除去され、ロット結果のサイズが減少し、ロット結果のサイズが減少し、そして検査速度は落ちない。さらに、ニュイサンスフィルタリングの一組のプロセッサノードを使って得ることのできる処理量の向上は、未加工の欠陥カウントが増えるにつれて増加する。その一方で、欠陥検出の後でニュイサンスのフィルタリングを行うと、特に欠陥数が比較的高く、ニュイサンス欠陥数が比較的高い場合に、システムの性能をおとしてしまう。
【0147】
さらに、上述のように一組のプロセッサノードでニュイサンスフィルタリングを行うと、処理量が検査を攻撃(hit on)したり、または検査システムに負担をかけすぎることなく(例えば、「チョーキング」)、ニュイサンスフィルタリング(例えば、iDOニュイサンスフィルタリング)を行うことができる。さらに、一組のプロセッサノードにiDO NEFを移動させる付加的な利点は、最大の未加工の欠陥カウントが制限されていた場合に前よりもさらにホットな検査を実行する能力である。iDOが一組のプロセッサノードによって実行される状態では、最大欠陥カウントはiDO後の欠陥カウントに等しい。その一方で、今日、閾値は通常2つの属性に従って設定される。それらの属性は、セグメント化された自動閾値化(SAT)/MDATセグメントと領域である。セグメント/領域の組み合わせを共有する全ての欠陥は、他の属性がそれらを簡単に分離しても、同じ閾値を持つ。特にウエハがたくさんのニュイサンス欠陥と少しのDOIを持つので、ニュイサンスイベントはフィルタリングされなければならない。そして今日iDOは、望まれないタイプをフィルタリングするというやり方によって、最大の多用途性を提供する。しかしながら、iDOは処理しなければならないイベントが多すぎる場合には機能しなくなる。特にiDOは、多すぎる欠陥がiDOによって処理されなければならない場合には、欠陥をフィルタリングすることができない。従って、欠陥カウントが低く保たれれば、iDOはフィルタリングを行うことができる。従って、わずかなDOIのキャプチャがニュイサンスを拒絶するシステムの能力によって制限されることがある。よって、全てのDOIをキャッチするには、かなりの量のニュイサンス欠陥検出を受け入れなければならない。
【0148】
さらに、ニュイサンスフィルタリングに使用する前の方法は、本明細書に記載するものと同じ性能を持っていない。特に、前に使用されていた方法では、閾値/セグメントの設定とニュイサンスフィルタリングは連続して行われる、つまり、閾値の設定、決定が行われた後に初めて、ニュイサンスフィルタリングルールのセットアップが行われる。さらに、ニュイサンスのフィルタリングはホストコンピュータによって行われる(画像コンピュータではない)、これは、それが後でフィルタリングされるのであっても、あらゆる欠陥を画像コンピュータからホストコンピュータに回さなくてはならないということであり、これはシステムの負荷を大幅に増やすことになる。従って、ニュイサンスのフィルタリングと閾値/セグメントを連続して設定、実行することによるシステムのチョーキングを避けるために、レシピはよりコールド(より低い感度で)で実行されなくてはならな。さらに、様々なアルゴリズムが、欠陥タイプの中のいくつかには最適で、他のものには最適でなくても、同じ閾値化アルゴリズムを全体の欠陥ポピュレーションに適用させなければならない。
【0149】
このような検査レシピの比較の結果は検出された欠陥の詳細の領域ラベリングとの決められた歩留まり関連性の向上に使用してもよく、これによって、関連する電気的情報(例えば、チェーンID,セル名、セルインスタンスIDの走査)へのダイレクトマッピングが可能となる。このような歩留まり関連性の決定は、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号に記載のように行ってもよい。さらに、このような比較の結果は、このような能力が、歩留まりモデリングの有効性、根本的原因解析、そして欠陥または歩留まりロスメカニズムパレートチャート生成方法論をどのように向上させたのかを評価するために、デザインベースビンニング(DBB)、欠陥臨界指標(DCI)、ダイレクト欠陥位置(DDL)、個別欠陥レビュー(DDR)の1つ以上と組み合わせて使用してもよい。DBBは、上記に参照するZafar氏らによる特許出願に記載のように行ってもよい。DCIは、この同じ特許出願に記載のように決定することができる。DDLは、欠陥レビュー中の検査によって検出された欠陥を特定する方法であり、カリフォルニア州サンノゼのKLA−Tencorから購入できるeビームレビューツールによって、自動モードで行われる。DDRは、参照によって本明細書に組み込まれる、2008年7月3日に米国特許出願公報第2008/0163140号として発行された、2006年12月6日出願の、Fouquet氏らによる米国特許出願番号第60/868,769と、2007年12月5日出願の、Fouquet氏らによる米国特許出願番号第11/950,961号に記載のように行ってもよい。さらにVIは、高度の検出方法(RBMT,RBl、リーフのNEF)と高度のビンニングテクニック(iDO,例えば、領域、欠陥画像コンテキスト、DBBとDCI属性の組み合わせ)と合わせて、デザインからの詳細領域の値の評価と、欠陥または歩留まりロスメカニズムパレート精度と歩留まり関連性、実行検出の時間、検査によって提供されるデータの診断解像度、根本的原因識別の時間の検討に使用することができる。
【0150】
このようにVIは、現代の半導体製造環境に対するエンジニアリングと製造のニーズに基づいて、検査性能のいくつかの分野において特有の値を提供することができる。例えば、マイクロケア領域は、特定の素子デザイン、素子の特定の部分と周知のプロセスのとの相互作用、素子の特定領域での検査システム撮像モードインタラクションに関する、詳細の領域ベースの先験的知識を符号化することによって、セットアップ自動化の増大したレベルと向上した歩留まり関連性を使用し、より感度の高い検査を可能にする。さらに、マイクロケア領域テクノロジーは、十分な解像度での素子における累積的なレシピ最適化の学習を可能にする。マイクロケア領域テクノロジーはまた、光学試験テクノロジーで検出可能なメカニズムについて、セルライブラリレベルで、製品のデザインプロセスインタラクションに関する学習を簡素化する。さらにVIは、関連性のない画像やパレートチャートノイズソースを生じさせることが先験的に知られている領域をフィルタリングすることによって、ニュイサンスの減少した値を提供することができる。
【0151】
本明細書に記載の実施形態は、ツール照合にも使用することができる。例えば、画像ベースのツール照合は、2つ以上のツールによって生成され、記憶された画像データを比較することによって行ってもよい。さらに、本明細書に記載する記憶された画像データは、検査システムによる潜在的な問題のトラブルシューティングに使用することができる。例えば、本明細書に記載する記憶された画像データは、ツールメーカーがツールの性能を診断することができるように、カスタマーサイトからツールメーカーへ送ることができる。このようにして、記憶媒体のアレイに記憶されたウエハ画像は、ツールメーカーの人員が難しい問題の対処に役立てることができるように、ツールメーカーに送り返すことができる。
【0152】
記憶された画像データはまた、削減されたツールの使用と遠隔ツールアプリケーションから恩恵を受けることのできるその他のアプリケーションにも使用することができる。例えば、本明細書に記載する記憶された画像データは、検査システムのユーザや潜在ユーザのトレーニングに有利に使うことができる。このような一例では、記憶された画像データは、実際のウエハやツールの使用を必要とせず、ユーザがトレーニングで検査レシピセットアッププロセスの模倣を行うのに使用することができる。さらに、トレーニングには、新しい検査システムや新しい検査システムの機能のトレーニングなどの、検査システム関連トレーニングを含んでもよい。さらに「生徒」はVIへの遠隔接続を介してディスクの記憶された画像データにアクセスして、ハンヅオン「ツール」体験の学習、レシピ最適化の実行、異なるアルゴリズムパラメータ間のインタラクションの学習、様々なアルゴリズム性能の体験、ウエハがいつ信号、ノイズ、ニュイサンス制限になるのかの学習、そしてデータ解析テクニックに慣れるために、VIベースプロジェクトに取り組む。
【0153】
VIのトレーニングへの使用は研修生にとって、ツールの問題が課題や学習の進行を遅らせない、主要なツールタイムが利用できる(例えば、リアルタイムでインストラクターが質問に答えることができる)、VIが効率を上げる(例えば、成長、ウエハの移動、検査システムの構成に時間をかけない)、経験に基づく学習(例えば、研修生は検査結果に関する様々なアルゴリズムパラメータや、それらがお互いにどうインタラクトするかを学ぶことができる)を促進するなどの点において有利である。さらにVIをトレーニングに使用することによって、必要なツールが減り、より多くのウエハを効果的にトレーニングに使うことができる。VIのトレーニングへの使用は、指導者にとっても下記の点で有利である。つまり、ポイント(例えば、別のものに対するあるタイプのセグメンテーションの値)を説明するために、特定の使用ケースをキャプチャし、そして設計することができ、本質的に安定したデータによって生徒の客観的な評価が可能となり(例えば、加算器やキャプチャレートの問題がない)、そしてロジスティクスが向上する(例えば、同じウエハデータを複数の生徒で使用することができ、より多くの人が快適な環境でトレーニングを受けることができる)。
【0154】
別の例では、VIによって、他の作業の際に発見された、重要な使用ケースのウエハ画像を記憶することが可能となる。例えば、独特の方法論によって難しい層が溶解された場合、そのウエハ画像を保存することができる。ウエハ画像を保存することで、最初の層の所有者によって学習されたレッスンを他のアプリケーションエンジニアに教えることができる。BKMを読んだり講義を聴いたりする代わりに、各エンジニアは実際のウエハ画像を調べることによって、経験を追体験することができる。
【0155】
さらにVIはツールが最初のウエハ画像を保存することのみを要求するので、トレーニングにはほんのわずかなツールタイムが必要となる。実際には、ほとんど全てのレシピの最適化トレーニングをオフツールに移動させることができる。このようなオフツールトレーニングはアプリケーショントレーニングの有効性を大きく増やす、というのも、トレーニング中のエンジニアはツールタイムのために競争する必要がなくなるからである。
【0156】
フィールドアプリケーショントレーニングもまた、VIによって大幅に改革することができるだろう。例えば、関心のあるウエハ画像やレッスンを保存したり文書化したりすることで、フィールドアプリケーションエンジニアは最も関心のあるウエハや最も価値のあるレッスンにかつてないほどアクセスすることができる。さらに、フィールドアプリケーションエンジニアはVIに遠隔アクセスし、ホームオフィスからレッスンを終了させることができるだろう。
【0157】
別の実施形態では、一組のプロセッサノードは、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が記憶されて初めてウエハ上の欠陥を検出するように構成される。例えば、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分は記憶されるので、ウエハの走査中または画像データが取得される時に欠陥検出を行う必要はもはやない。その一方で、現在使用されている検査システムでは、ウエハ全体の未加工の画像データは記憶されないので、画像データが取得される時に欠陥検出を行わなければならない。さらに、いくつかの実施形態では、欠陥検出はウエハのために生成された画像データの全てまたは画像データの選択された部分が記憶されるまで行われないので、欠陥検出はデータが取得される時に行うことができる。このようないくつかの実施形態では、未加工の画像データは欠陥検出の結果と共に記憶することができる。
【0158】
このような一実施形態では、欠陥検出は欠陥検出アルゴリズムを画像データに適用することによって行われ、欠陥検出アルゴリズムは、欠陥検出アルゴリズムを画像データに適用することのできる速度に関係なく選択される。例えば記憶された画像データは、「高価な」(時間のかかる)欠陥検出アルゴリズムの後処理に使用することができる。このようにして、一組のプロセッサノードは、任意の欠陥検出アルゴリズムの複雑さに関係なく、欠陥検出アルゴリズムを記憶された画像データに適用することによって、ウエハ上の欠陥を検出してもよい。その一方で、現在使用されている検査システムでは、欠陥検出アルゴリズムは未加工の画像データが取得される時に未加工の画像データに適用され、欠陥検出アルゴリズムは、未加工の画像データの全てが欠陥検出に使用できるように(未加工の画像データを失うことなく)、少なくとも未加工の画像データが取得される時の速度で未加工の画像データに適用されなければならない。従って、現時使用されている検査システムは、比較的単純な欠陥検出アルゴリズムを使った欠陥検出の実行に制限されることが多く、従って、十分に速い速度で未加工の画像データに適用することができる。しかしながら、本明細書に記載する実施形態は、ウエハの走査中にウエハのために生成された未加工の画像データの全てまたは選択された部分を記憶することができるので、欠陥検出アルゴリズムの複雑さに関係なく、欠陥検出アルゴリズムを選択して、未加工の画像データに適用することができる。
【0159】
別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データに2つ以上の欠陥検出アルゴリズムを適用することによって、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、2つ以上の欠陥検出アルゴリズムにおいて、2つ以上のアルゴリズムの少なくとも1つのパラメータが異なる。異なる2つ以上のアルゴリズムのその(それらの)パラメータは、アルゴリズムの任意の調節可能なパラメータを含んでもよい。例えば、2つ以上の欠陥検出アルゴリズムは、アルゴリズムのパラメータ値以外は同じである2つのアルゴリズム(例えば、欠陥を検出するために、記憶された画像データに適用することのできる閾値)を含んでもよい。あるいは、2つ以上の欠陥検出アルゴリズムは2つの全く異なるアルゴリズムを含んでもよい。例えば、欠陥検出アルゴリズムの1つは比較的単純な欠陥検出アルゴリズムで、もう1つの欠陥検出アルゴリズムは比較的複雑な欠陥検出アルゴリズムでもよい。2つ以上の欠陥検出アルゴリズムの、記憶された同じ画像データへの適用は、記憶された画像データの様々なタイプの欠陥を検出するなどの多くの理由で有利である。同様に、ウエハは、新しいまたは異なるアルゴリズム、セグメンテーションスキーム、アービトレーションスキーム、よりホットな閾値、新しいWISE、ルールベースのビンニング(RBB)、「インテリジェント」自動欠陥分類(iADC)フィルタなどを記憶された画像データに適用することによって、「再走査」することができる。2つ以上の欠陥検出アルゴリズムは、記憶された画像データに連続して適用することができる。さらに、欠陥検出アルゴリズムの中の1つの結果は、別のアルゴリズムが画像データに適用されか否かを決定するために使用することができ、もし適用されるのであれば、どのアルゴリズムかを決める。
【0160】
いくつかの実施形態では、一組のプロセッサノードは、複数のパスで記憶媒体のアレイに記憶された画像データを処理することによって、ウエハ上の欠陥を検出するように構成される。このように、一組のプロセッサノードは、マルチパス欠陥検出のために構成してもよい。このような一実施形態では、複数のパスの1つは、ウエハ上の1つ以上の関心領域に対応する画像データの1つ以上の部分の識別を含む。例えば、一組のプロセッサノードは、ウエハ上の関心領域が、比較的単純なアルゴリズムを使って隔離された第1のパスの欠陥検出を行うように構成してもよい。ウエハ上の関心領域は、本明細書にさらに述べるようにして行う統計解析(例えば、ノイズフロアを調べる)に基づいて、第1のパスの中で決められてもよい。従って、欠陥検出の第1のパスは、ウエハ上の欠陥の検出を実際には含まないこともできる。
【0161】
このような別の実施形態では、別のマルチパスは画像データに1つ以上のアルゴリズムを適用して欠陥を抽出し、そして誤った欠陥の検出を抑制することを含んでもよい。例えば、一組のプロセッサノードは、欠陥抽出や誤った欠陥検出の最小化のために、複雑なアルゴリズムを使って、欠陥検出の1つ以上のそれに続くパスを行うように構成してもよい。このようにして、走査からのデータをメモリに保持する、本明細書に記載の提案するシステムアーキテクチャによって、マルチパス検査の欠陥検出感度(とノイズ拒否)を向上させることができる。
【0162】
本明細書に記載する実施形態によって、アルゴリズムが記憶されたデータの複数のストリームを使って感度を高めることが可能になる。例えば、一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データの複数のストリームを使って、ウエハ上の欠陥を検出するように構成される。このように、本明細書に記載する実施形態は、解析の演算システムの間で相当な量のデータを移動させることなく、欠陥検出を向上させるために、プロセッサノードに接続されるメモリを活用して、ウエハのデータを保持するように使用することができる。
【0163】
別の実施形態では、一組のプロセッサノードは、画像データの複数のストリームを使ってウエハ上の欠陥を検出するように構成される。このような一実施形態では、複数のストリームの1つは、記憶媒体のアレイに記憶された画像データを含み、そして複数のストリームのもう1つはウエハの追加走査中に検出器によって生成された画像データを含む。このようないくつかの実施形態では、ウエハの走査および追加走査は、検査システムの1つ以上の異なるパラメータを使って行われる。このようにして、本明細書に記載する実施形態は、マルチ走査欠陥検出などのマルチ走査アプリケーションのために記憶された(例えば、本明細書にさらに述べるように、記憶媒体のアレイに記憶された)画像データを使うことができる。さらに、マルチ走査欠陥検出に関しては、記憶されたデータはフレーム毎に記憶媒体のアレイからVIカラムの中にリードバックされ、欠陥検出の画素レベルで整列、結合される。画素レベルでの記憶された画像データの整列は、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号に記載のように行ってもよい。
【0164】
検査システムの1つ以上の異なるパラメータには、検査システムの任意の調節可能なパラメータを含んでもよい。例えば、1つの走査は1つの画素サイズを使って行ってよく、そして別の走査は異なる画素サイズを使って行ってもよい。追加の調節可能なパラメータには、照明の波長、照明の入射角、補正角、照明の極性、補正の極性を含むことができるが、これらに限定されない。
【0165】
このようないくつかの実施形態では、欠陥検出は、ウエハの1つの走査によって生成されたデータの1つのストリームを使って行ってもよく、欠陥検出の結果は、ウエハの別の走査によって生成されたデータの別のストリームも欠陥検出に使うかどうかを決めるために使うことができる。例えば、欠陥検出は第1の画素サイズを使ったウエハの走査によって生成されたデータの1つのストリームを使って行ってもよく、そして欠陥検出の結果は、異なる画素サイズを使ったウエハの別の走査によって生成されたデータの別のストリームも欠陥検出に使うことができるかを決めるために使用してもよい。このようないくつかの例では、データの第1のストリームを使って生成された欠陥検出の結果は、ウエハのどの領域が第2のストリームを使って追加の欠陥検出が行われるべきかを決めるためにも使うことができる。
【0166】
一実施形態では、一組のプロセッサノードは、ウエハ検査中に検出された欠陥のビンニングと、ウエハ検査の感度領域を決めるために、記憶媒体のアレイに記憶されたダイのコンテキストマップを使ってウエハの検査を行うように構成される。例えば、本明細書に記載する実施形態は、素子に関係するデザイン情報または素子の撮像から派生した画像情報のいずれかから得られた、記憶されたデータを使った半導体素子の検査に使用してもよい。このデータは、記憶されているデータを再学習したり再取得したりすることなく、複数の撮像モードで同じタイプまたは同じウエハのたくさんのウエハの検査に使用することができる。このようなデータには、例えば、ダイのコンテキストマップが含まれ、これは、様々な検出感度で/または欠陥のビンニングのために検査されなくてはならないウエハ上の領域の決定などの、ウエハ検査アプリケーションのために使用、そして再使用することができる。このようなコンテキストマップは生成することができ、そしてウエハ上の異なる領域の感度は、参照によって本明細書に組み込む、2006年12月19日出願の、Duffy氏らによる米国特許出願番号第60/870,724号と、2007年12月19日出願のDuffy氏らによる米国特許出願番号第11/960,157号に記載のように決定することができる。本明細書に記載の実施形態は、これらの特許出願に記載のいずれかの方法のいずれかのステップを行うように構成してもよい。いくつかの実施形態では、一組のプロセッサノードは、感度領域とレシピ合成を自動的に決めるように構成される。例えば、各デザインコンテキストは、検査システムの特定のタイプの感度領域を定めることができる。システムはウエハを走査し、各コンテキストのノイズフロアを決め、そしてコンテキストとノイズの閾値表を作成する。新しい素子レシピもまた、第1素子のために決められたコンテキストとノイズのマッピングを使って、自動的に作成することができる。
【0167】
一実施形態では、一組のプロセッサノードは、以前に記憶媒体のアレイに記憶されたウエハ画像の処理と、ダイのコンテキストマップの記憶媒体のアレイへの記憶を行うために、画像処理アルゴリズムを使ってダイのコンテキストマップを生成するように構成される。例えば、本明細書に記載するように記憶された画像データは、コンテキストマップの生成に使用してもよい。例えば、ダイのコンテキストマップは、一組のラベル(タイプ)に対するダイ領域のマッピングとして通常定義することができ、これは、向上した欠陥ビンニング、向上したニュイサンスフィルタリング、向上した選択的検出感度、歩留まり解析、またはそれらのいくつかの組み合わせに使用することができる。コンテキストマップの粒度は画素レベル(例えば、デザインルールで、3画素x3画素格子など)または粗いレベル(例えば、1ミクロンx1ミクロン格子)である。
【0168】
コンテキストマップの生成方法のいくつかの例には、ジオメトリまたはテクスチャ特徴あるいはテンプレートマッチングに基づく、高解像度ツール(例えば、eビームまたはBF検査システム)とシーンセグメンテーションの画像走査の実行が含まれる。シーンセグメンテーションは完全に自動(監視なし)で行うことができる。さらに、コンテキストマップの生成は上述のように行うことができるが、セグメンテーションはダイ間のばらつきに基づいて行ってもよい。別の例では、コンテキストマップの生成は上述の2つの方法を組み合わせて行ってもよい。さらなる例として、コンテキストマップの生成は、デザインレイアウト解析(例えば、多角形マッチングとセルヒエラルキーエンコーディング)を使って行うことができる。
【0169】
VIを使った画像ベースのコンテキストマップ生成は次のステップを含むことができる。例えば、方法には、検査システムを使ったダイの走査とデータのディスクアレイへの記憶(例えば、本明細書にさらに記載するVIアーキテクチャを使って)が含まれる。さらに方法には、保存した画像の再生とマルチプロセッサシステム(例えば、VIカラム)を使った画像処理アルゴリズムの実行と、スタンドアロンVIシステムへのコンテキストマップの作成が含まれる。作成されたマップは、検査システムでランタイムで使用するために、RAIDディスクに保存することができる。
【0170】
一実施形態では、一組のプロセッサノードは、オフラインで生成されたデザインレイアウトからダイのコンテキストマップを生成し、生成されたダイのコンテキストマップを記憶媒体のアレイに記憶するように構成される。例えば、VIを使ったデザインベースのコンテキストマップの生成には、次のステップを含んでもよい。デザインレイアウトは、ダイがインスペクタで走査される方法に対応する「仮想スワース」に分割される。各スワースのデザインファイルは別々のコンピュータ(ノード)で処理され、適切なアルゴリズムを使って様々なタイプのジオメトリを分類するコンテキストマップを生成する。生成されたマップは、検査システムのランタイムで使用されるRAIDディスクに保存される。
【0171】
コンテキストマップは、デザインアップストリームからと/またはファブ内でも生成することができる。例えば、コンテキストの1つのソースは、コンピュータ支援デザイン自動化(EDA)リファレンスフロー内で生成することができる、その中で、アップストリームデザインGDSは、ダイ上の潜在的なクリティカルジオメトリまたは領域を識別するために、スクリプト言語を使って解析される。このような一例では、歩留まりエンジニアはデザインからコンテキストマップを作成することができる。コンテキストの追加ソースはホットスポットデータからのコンテキストマップであってもよく、その中では、デザインルールチェック(DRC)ツールを使って、ホットスポットと/またはケア領域GDSファイルが作成される。
【0172】
コンテキストマップも、上述に記載の画像処理アルゴリズムとデザインデータを利用した、幾何的解析アルゴリズムの組み合わせを使ってVI上で生成することができ、そして両方のタイプのデータ(画像データとデザインジオメトリデータ)をVI上の記憶媒体のアレイ上に分散することもできる。
【0173】
コンテキストマップは、本明細書に記載する実施形態により、多くのやり方で使用することができる。例えば、コンテキストマップは、コンテキストの周波数解析、欠陥・コンテキストの親和性測定、系統的な欠陥メカニズムの記述、DBBの高速化、そして新しい素子の自動的なレシピ合成に使用することができる。コンテキストマップの追加の使用ケースには、ハイパー・感度(例えば、選択されたマイクロ領域の増強された感度)、感度低下(例えば、ニュイサンスの減少と/または自動ケアしないマイクロ領域(automatic do not care micro−regions)の作成)、ランタイムコンテキストビンニング(例えば、バックグランドの繰り返しによる欠陥の発見)、オフラインウエハレスセットアップ(例えば、デザインを画像の信号ノイズ比特性へ関連付けるための較正)、系統的な欠陥検出(例えば、標準的基準ダイの使用、GDSヒエラルキー、コンテキストの繰り返し)が含まれる。コンテキストマップはさらに生成され、そして、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156279号として発行された、Kulkarni氏らによる共有の米国特許出願番号第11/561,735号と、2007年12月13日に米国特許出願公報第2007/0288219号として発行された、Zafar氏らによる米国特許出願番号第11/561,659号に記載のように使用することができる。また、コンテキストマップを使用するDBBは、これらの特許出願に記載のように行ってもよい。さらに、本明細書に記載する実施形態は、これらの特許出願に記載の任意のステップを行うように構成してもよい。
【0174】
このようにして、本明細書に記載する実施形態は、ウエハの複数の走査を使って欠陥の全てのタイプを検出するために、より高い感度を達成し、そして、欠陥が現実かニュイサンスかの決定を行う前に、かなり細かな詳細レベルで、全ての走査から情報を組み合わせるために使用することができる。例えば、本明細書に記載する実施形態は、ノードメモリのコンセプトを利用して、欠陥検出を向上させるために、2つ以上のホット走査から収集されたパッチ画像を記憶することができる。
【0175】
さらに、検査システムの光学パラメータの1つ以上の異なる値を使って、2回以上ウエハの走査を行うことによって取得された記憶された画像データは、ウエハまたは追加ウエハの同じ層の検査に使用するための1つ以上の光学パラメータの選択に使用することができる。例えば、ウエハはいくつかの(例えば2つ以上の)異なる光学モードを使って走査してもよい。各走査によって生成された画像データは、本明細書に記載のシステム実施形態によって記憶することができる。この記憶された画像データは、光学モードのうちのどれがウエハと追加ウエハの同じ層の検査に「ベスト」かを決めるために使用することができる。「ベスト」な光学モードとは、ベストなスコア、例えばDOIの最高のS/Nを生成する光学モードであってもよい。異なる光学モードのスコアは、参照によって本明細書に組み込まれる、2007年__出願のLee氏らによる米国特許出願番号第11/_、_(56197200−438,P2141)に記載のように決めてもよい。本明細書に記載の実施形態もまた、本特許出願に記載の1つ以上のステップと1つ以上の方法を行うように構成してもよい。
【0176】
ウエハの複数の走査によって生成された、記憶された画像データを使って「ベスト」であると決められた光学モードは、走査に使用する光学モードの1つでない場合もあるかもしれない。例えば、記憶された画像データは、ウエハ自身に関する経験による知識や情報などのその他のデータソースと組み合わせて使用し、光学モードの中の1つを何らかの方法(例えば、アパーチャを変える)で変えることができるかを決定し、光学モードを良くし、よっておそらくウエハの検査にベストなものにすることができる。
【0177】
ウエハの1回以上の走査によって生成された、記憶された画像データもまた、ウエハの検査プロセスの1つ以上の光学パラメータや、検査プロセスの1つ以上の欠陥検出パラメータの選択にも使用することができる。記憶された画像データは従って、全体的な検査レシピの作成を行うために使用することができる。1つ以上の光学パラメータと1つ以上の欠陥検出パラメータの選択は、連続的かまたは同時に行うことができる。検査レシピのための1つ以上の光学パラメータと1つ以上の欠陥検出パラメータの選択は、本明細書にさらに述べるようにオフラインで行うことができ、これによって、検査システムがレシピの作成に使用されるか、または10以上の係数で調整される時間を減らすことができる。
【0178】
VIアーキテクチャはマルチパス欠陥検出のシミュレートに使用することができる。例えば、2つの異なる撮像モードを使って製作された所定のウエハからのデータがVIのディスクアレイに記録されたとする。各ノードの特定の量のメモリ(N欠陥のデータを保持する容量を有する)は、マルチ走査欠陥検出専用のもので、検出器からのデータの1つのスワースを処理するノードが8つあるとする。「ホット」欠陥検出レシピを1つの撮像モードで記録されるデータの処理に使用することができ、そして、各ノードで検出される「トップ」N欠陥候補(例えば、最大信号を持つ欠陥、最大サイズを持つ欠陥など)は記憶され、よって、情報は8N欠陥候補のために保持することができる。次に、2つ目の撮像モードで記録されたデータを再生して、このデータストリームでホットレシピを実行することができる。このデータストリームの欠陥候補が識別されると、それらの場所は最初のシミュレートされた走査から記憶された欠陥候補の場所と比較される。それらが一致しない場合、その候補は無視される。場所が一致する場合(例えば+/−2画素などの許容差内で)は、2つのパッチの画素からの信号の間で相互関係が計算される。ノイズは、通常異なる撮像モードにまたがると相互に関連しないので、この相互関係の効果によってノイズが抑制され、そして欠陥信号が増強される。このピークの相互関係がある閾値を超えと、その欠陥は保持される、そうでなければ、それは捨てられる。このタイプのフィルタリングの効果は、各モードで実質的にホットな走査を実行することができ、そして現実の欠陥を識別するために、場所の一致と画像の相互関係の両方を使うことができることである。このアプローチの可能な修正は、相互関係が2つのモードにまたがって比較的高いところでは欠陥パッチ画像だけを保持するのではなく、たとえ他のモードがこのイベントをキャッチできなくても、信号強度が特定の閾値よりも高いところでは、各モードのパッチ画像も保持することである。
【0179】
図4は、マルチ走査(2つのホット走査)検査またはマルチパス欠陥検出の処理シーケンスの一実施形態を示す。図4に示すように、センサ74はモード1を使って画像データ76を生成する。モード1を使って生成された画像データはノード78に送られる。ノード78は一組のノードの1つであってもよい。そして画像データの各サブスワースは1つのノードによって処理される。ノード78と、ノード78が含まれる一組のノードはさらに本明細書に記載するように構成することができる。あるいは、モード1を使って生成され、ノード78に送られる画像データは、記憶された画像データであってもよく、これは、本明細書にさらに述べるように記憶され、そしてノードに再生することができる。ホストコンピュータ80もVIに含むことができ、そして本明細書にさらに述べるように、VIの各プロセッサノードに接続される。
【0180】
モード1を使って生成された画像データ76を受信すると、ホストコンピュータ80は開始コマンド82をノード78に送る。開始コマンドを受信すると、ステップ84で、ノードは、「ホット」閾値を使って、撮像モード1でウエハを走査し、それに接続される記憶媒体にトップN欠陥からの欠陥パッチを保存する。
【0181】
センサ74はまた、モード2を使って画像データ86を生成する。モード2を使って生成された画像データはノード78に送られる。あるいは、ノード78に送られたモード2を使って生成された画像データは、記憶された画像データであってもよく、これは本明細書にさらに記載のように記憶することができ、そしてノードに再生される。ステップ88で、ノードは、「ホット」閾値を使って、撮像モード2でウエハを走査する。ステップ90で、ノードはモード1とモード2からの一致する欠陥パッチを関連付け、そして各モードで高い相互関連イベントと比較的強い信号でキャッチされたイベントを選択する。ステップ92で、ノードは相互に関連する欠陥と比較的強い信号欠陥をホストコンピュータ80にアップロードし、ホストコンピュータ80は各ノードからのアップロードされた欠陥を最終欠陥結果94として記憶する。
【0182】
本明細書に記載するマルチ走査欠陥検出の実施形態は、マルチ走査欠陥検出に現在使われている方法よりも多くの利点がある。例えば、マルチ走査欠陥検出に現在使われている1つの方法は、2つ以上のコールド走査と全ての欠陥の「結合(union)」を、検査の最終結果として使用する。このマルチ走査欠陥検出には多くの不利な点がある。例えば、現在のマルチ走査方法は、ニュイサンス欠陥をキャッチしないように、各走査を極めてコールドに実行しなくてはならず(例えば、ウエハ走査中に検出器によって生成された画像データのノイズフロアよりも実質的に高い欠陥検出の閾値を使って)、そして画素レベルでマルチチャンネルデータを利用しない。よって、本明細書に記載する方法で可能な最大感度とノイズの抑制を達成しない。
【0183】
いくつかの実施形態では、一組のプロセッサノードは、データの複数のストリームを使ってウエハ上の欠陥を検出するように構成され、そして、複数のストリームには、記憶媒体のアレイに記憶される画像データ、ウエハの統計データ、そしてウエハのデザインデータが含まれる。このようにして、一組のプロセッサノードは、統計データとデザインデータが一体となった1つ以上のアルゴリズムを使って、ウエハ上の欠陥を検出するように構成することができる。ウエハの統計データとデザインデータは、本明細書に記載する記憶媒体のアレイに記憶されるか、または1つ以上の異なる記憶媒体に記憶してもよい。さらに、統計データとデザインデータは、記憶媒体のアレイに記憶される画像データと同じやり方で破壊することができ、よって、データの複数のストリームのお互いの整列を簡単に行うことができる。データの複数のストリームの整列は、任意の適切な方法で行うことができる。
【0184】
このような一実施形態では、デザインデータはウエハのどの領域がクリティカルで、どの領域がクリティカルでないのかを決めるために使うことができ、そして、ウエハの様々な領域のクリティカリティは、ウエハの様々な領域に対応する画像データに、どの閾値または欠陥検出アルゴリズムを適用させるかを決めるために使用することができる。デザインデータはまた、ウエハの1つ以上の層のデザインデータを含んでもよい。欠陥検出のためのマルチレヤデザインデータの使用は、参照によって本明細書に組み込まれる2007年7月5日に米国特許出願公報第2007/0156279号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号と、2007年12月13日に米国特許出願公報第2007/0288219号として発行された、Zafar氏らによる米国特許出願番号第11/561,659号(これらの特許はどちらも2006年11月20日に出願された)に記載のように、さらに行ってもよい。別の実施形態では、統計データ(例えば、ノイズ、本明細書に記載する他の統計データ、またはウエハのために生成された、そして/または記憶媒体のアレイに記憶された、画像データを使って統計的に決められる任意のデータ)は、ウエハのどの領域に特にノイズがあり、そしてウエハのどの領域に特にノイズがなく、そしてウエハの様々な領域のノイズレベルを決めるために使うことができ、そしてウエハの様々な領域のノイズレベルは、欠陥検出アルゴリズムのどの閾値を様々な領域に対応する画像データに適用するかを決めるために使うことができる。
【0185】
追加の実施形態では、一組のプロセッサノードはデータの複数のストリームを使ってウエハ上の欠陥を検出するように構成され、複数のストリームの1つは、記憶媒体のアレイに記憶された画像データを含み、そして複数のストリームのもう1つは、検査システムによって取得されていないデータを含む。このようにして、本明細書に記載する実施形態によって、検査システムによって取得されないデータ(例えば、デザインデータ)をデータストリームとして使用できることが可能となり、アルゴリズム感度が向上する。検査システムによって取得されないデータには、例えば、本明細書にさらに述べるように、欠陥の検出に使用することのできるデザインデータを含んでもよい。別の例では、検査システムによって取得されないデータには、検査システムが光学ツールである場合には、電子ビームツール、または、検査システムが電子ビームツールである場合には光学ツールといった具合に、別のシステムによって取得されたデータを含んでもよい。例えば、いくつかの光学検査システム(例えば、BFツール)は、ウエハの最上層の下の層に反応する画像データを作り出すが、電子ビーム検査システムはウエハの最上層に反応する画像データしか作り出さない(例えば、下にある層は電子ビーム検査システムには「見えない」)。従って、検査システムによって取得されない画像データは、複数の層からの画像データが、欠陥検出アルゴリズムの1つ以上のパラメータをより正確に決めることができるように、検査システムによって取得された画像データと共に使用することができる(例えば、ウエハの2つ以上の層に形成されたパターンに基づいてクリティカリティを決めたり、または、ウエハの1つの層の画像データをウエハの下の層から分離することによって、クリティカリティを決める複雑さを軽減することによって)。
【0186】
一実施形態では、一組のプロセッサノードは、ウエハのダイに対応する画像データの一部分をウエハの異なるダイに対応する画像データの別の一部分と比較することによって、記憶媒体のアレイに記憶された画像データを使って、ウエハ上の欠陥を検出するように構成される。このような一実施形態では、画像データの一部分と画像データの別の一部分は画像データの単一のストリームに含まれる。このようにして、一組のプロセッサノードは、ダイ・ツー・ダイタイプ(ダイタイプとダイタイプの)の比較によって、ウエハ上の欠陥を検出するように構成してもよい。互いに比較される画像データの一部分には、画像データの単一ストリームに含まれる画像データを含んでもよい。
【0187】
さらなる実施形態では、一組のプロセッサノードは、ウエハのダイに対応する画像データの一部分を標準的基準ダイと比較することにより、そしてウエハのダイに対応する画像データの異なる一部分をウエハの別のダイに対応する画像データの対応する部分と比較することによって、記憶媒体のアレイに記憶された画像データを使って、ウエハ上の欠陥を検出するように構成される。このようにして、本明細書に記載する実施形態は、「ダイ・ツー・スパース標準的基準ダイ検出」のために構成することができる。例えば、ダイ・ツー・スパース標準的基準ダイ検査は、選択された場所(例えば、潜在的に繰り返される、または系統的欠陥の場所)の標準的基準ダイの走査からのパッチ画像を保存することによって、そして、他の場所のダイ・ツー・隣接ダイ検査を行いながら、これらのパッチ画像を使ってテストダイの対応する場所とを比較することによって、あらかじめ定められた場所で行ってもよい。特に、一組のプロセッサノードは、ダイ・ツー・隣接ダイ比較を使って無作為の欠陥検出を同時に行い(同じ走査で)、そして前もって選択された領域からのダイ・ツー・記憶された参照基準パッチ画像を使って系統的な欠陥のモニタリングを行うように構成してもよい。ダイ・ツー・記憶された参照基準パッチ画像が欠陥検出に使用されるあらかじめ選択された領域は、よって、特別なケースや特別な関心領域(例えば、潜在的系統的な欠陥の場所を含む領域)を呈するダイの領域を含んでもよい。さらに、ダイ・ツー・記憶された標準基準ダイ比較が使用される、あらかじめ選択された領域は、ダイの比較的小さな領域でもよく(例えば、画素の約1%)、そして、スパース標準的基準ダイの記憶に必要なメモリ量は、全体的なダイの標準的基準画像に必要なものと比べて、比較的小さくてもよい
【0188】
標準的基準ダイは任意の適切な方法で生成することができる。一実施形態では、一組のプロセッサノードは、1つ以上のウエハ上の2つ以上のダイの画像データを使って標準的基準ダイを生成し、記憶媒体のアレイに標準的基準ダイを記憶するように構成される。例えば、標準的基準ダイはウエハ上のいくつかのダイのために取得したデータを使って生成してもよい。このような標準的基準ダイを生成する方法例は、参照によって本明細書に取り込む、2007年7月20日出願の、Bhaskar氏らによる、米国特許出願番号第60/950,974号と、2008年7月18日出願の、Bhaskar氏らによる、米国特許出願番号第12/176,095号に記載される。本明細書に記載する実施形態は、これらの特許出願に記載のいずれかの方法のいずれかのステップを行うように構成してもよい。
【0189】
さらに、本明細書に記載する実施形態は、ウエハ上のダイの一部分だけが標準的基準ダイと比較される、ダイ・ツー・スパース標準的基準ダイ欠陥検出を行うように構成してもよいが、本明細書に記載する実施形態は、欠陥検出のために、ダイ全体を標準的基準ダイと比較するようにも、または代替的にも、構成してもよい。例えば、一実施形態では、一組のプロセッサノードは、ウエハ上のダイに対応する画像データを標準的基準ダイと比較することによってウエハ検査を行い、ウエハ上のダイに対応する画像データと標準的基準ダイは記憶媒体のアレイに記憶するように構成される。従って、VIはダイ・ツー・標準的基準ダイ検査をオフラインで行うように構成することができる。別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された標準的基準ダイを、ダイに対応する画像データが検出器から受信される時のウエハ上のダイに対応する画像データと比較することによって、ウエハ検査を行うように構成される。従って、VIはダイ・ツー・標準的基準ダイ検査をオンライン(画像データが物理的ウエハを使って生成される時の)で行うように構成することができる。例えば、ダイ・ツー・標準的基準ダイウエハの検査中、VIは、ウエハと標準的基準ダイのデータのストリームの両方のストリームを一緒にためることができる。このようにして、データが検査システムに入ったり出たりする際に、ストリーミングデータをVIで記憶した標準的基準ダイと比較することができる。
【0190】
ダイ・ツー・スパース標準的基準ダイ欠陥検出のこのようないくつかの実施形態では、図4に示す方法は、パッチ画像を周知の良い基準ウエハからの周知の良いダイからキャプチャし(ノード内で)、これらのパッチ画像を使って、検査されるテストウエハの全てのダイの対応領域を比較するというケースに一般化することができる。保存することのできる参照基準パッチ画像の数は、検査システムノードあたりのメモリ容量、保存されるパッチ画像のサイズ(例えば、128画素x128画素)、そして標準的基準パッチ画像の地理的な分散によって決まる、というのも、各ノードはダイ領域の一部分を受信するからである。例えば、テストウエハ上の特定の場所を標準的基準ダイに対して検査して、繰り返しの、または系統的欠陥のメカニズムを検出することができ、一方で、ダイ・ツー・隣接ダイの欠陥検出方法をウエハの残りの部分に使用することができる。
【0191】
いくつかの実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶されたウエハの画像データを別のウエハの画像データと比較するように構成される。このような一実施形態では、一組のプロセッサノードは、VIに2つの全面的なウエハの画像データを記憶するように構成される。そして一組のプロセッサノードは、1つのウエハをテストウエハとして、そしてその他のウエハを基準ウエハとして指定することができる。このような一例では、テストダイ上の欠陥を検出するために、テストウエハ上のテストダイを基準ウエハの記憶された標準的基準ダイと比較することができる。基準ウエハ上の基準ダイはまた、基準ダイ上の欠陥を検出するために、記憶された標準的基準ダイと比較される。基準ダイとテストダイは2つのウエハの対応する領域に位置することができる(例えば、基準ダイとテストダイは2つのウエハ上ではほぼ同じ配置にあることができる)。テストダイ上で検出された欠陥は、基準ダイ上で検出された欠陥とも比較することができる。一組のプロセッサノードはまた、欠陥のアービトレーションのために、テストダイ1つにつき複数の基準を持つという問題を管理するようにも構成してもよい(すなわち、欠陥のあるウエハやウエハの部分の決定)。
【0192】
このような別の実施形態では、一組のプロセッサノードは、VIに基準ウエハを記憶するように構成される。一組のプロセッサノードは、VIを「現実の」検査システムに接続して、検査プロセス中に、VIから現実の検査システムへ基準データを送り込むように構成してもよい。基準データは、現実の検査システムがテストデータを取得するのとほぼ同じ速度で、VIから現実の検査システムに送り込むことができる。しかしながら、基準データは、テストデータが取得された速度とは異なる速度で、VIから検査システムへ送り込まれてもよい(例えば、基準データまたはテストデータのいくつかはバファリングすることができるか、または基準データとテストデータを異なる解像度で取得してもよく、よって、異なる速度で現実の検査システムに送られる)。
【0193】
このようにして、一組のプロセッサノードは、ウエハ内比較のために構成してもよい。一度ウエハ画像がロードされると、ウエハとウエハ(ウエハ・ツー・ウエハ)の比較は単一のVIか、2つの互いに接続されるVIか、または現実の検査システムに接続されるVIで行うことができる。このように、本明細書に記載する実施形態は、本明細書に記載する記憶媒体を使ってウエハ走査のデータを保持し、解析のために演算システムの間でかなり大量のデータを転送することなく、詳細のかなり細かいレベルで、ウエハシグネチャのモニタを行うことができる。
【0194】
例えば、一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データを使って、ウエハプロパティシグネチャ解析を行うように構成される。特に、提案するウエハノイズモニタリングのアーキテクチャは、走査の間に記憶された画像データを利用して、画像コンピュータシステムI/O帯域幅制限に制限されることなく、非常に細かい詳細レベル(ウエハ/ダイフレーム/領域)でノイズの記録とモニタを行う。例えば、本明細書に記載する実施形態によって行われるウエハとウエハの比較は、単に異なるウエハ上の欠陥マップを比較するよりも、かなり詳細のレベルで1つのウエハと別のウエハとの比較を行うことができる。特に、各ダイの各フレームのプロパティは、2つのウエハの間、またはテストウエハと基準ウエハの間で比較することができ、ウエハ製造プロセスで系統的な欠陥メカニズムの識別に使うことのできる、ウエハ規模のシグネチャの検出が可能になる。さらに、各フレームのプロパティをデザインコンテキストの機能として定めてもよい。例えば、デザインコンテキストあたりのフレームあたりの異なる統計値は、テストダイと記憶された標準的基準ダイの比較や、基準ダイと記憶された標準的基準ダイの比較のために決めてもよい。これらのフレーム統計値は、テストウエハと基準ウエハを比較するために比較してもよい。このようにして、一組のプロセッサノードは、系統的な欠陥を識別するために、ウエハプロパティのシグネチャの識別と/または解析を行うように構成することができる。一組のプロセッサノードはまた、マクロレベルの欠陥を検出するために、ウエハプロパティのシグネチャの識別と/または解析を行うように構成することができる。ウエハとウエハの比較はまた、参照によって本明細書に組み込まれる、2007年7月5日に米国特許出願公報第2007/0156279号として発行された、Kulkarni氏らによる米国特許出願番号第11/561,735号と、2007年12月13日に米国特許出願公報第2007/0288219号として発行された、Zafar氏らによる米国特許出願番号第11/561,659号(これらの特許はどちらも2006年11月20日に出願された)に記載の実施形態によって行ってもよい。
【0195】
さらに、一組のプロセッサノードは、ウエハのノイズをモニタするように構成してもよい。このようにして、一組のプロセッサノードは、ウエハノイズフロアプロセスモニタリングを行うように構成してもよい。例えば、一組のプロセッサノードは、1つのウエハから別のウエハのフレーム内で、ダイ/フレーム/マイクロ領域毎のウエハをモニタして、異常だけをホストシステムに報告するように構成してもよい。このようにして、ノイズモニタリングからの異常イベントのみをホストコンピュータに報告してもよい。従って、異常イベントを決定するために、解析のためにシステムの外で莫大な量のノイズデータを送る必要はない。
【0196】
一組のプロセッサノードは、参照によって本明細書に組み込む、2007年7月5日に米国特許出願公報第2007/0156379号として発行された、2007年7月30日出願の、Kulkarni氏らによる米国特許出願番号第11/830,485号に記載のように、ウエハノイズフロアをモニタするためにさらに構成してもよい。一組のプロセッサノードはまた、本特許出願に記載のいずれかの方法のいずれかのステップを行うように構成してもよい。さらに、このようなノイズフロアモニタリングは、ユーザのウエハプロセスのばらつきの追跡に役立つ。このようなノイズフロアモニタリングはまた、欠陥レビューサンプリング(例えば、SEMサンプリング)の支援も行う。例えば、明らかに高いノイズ領域は系統的な欠陥メカニズムを示すので、欠陥レビューサンプリングのために選択することができる。
【0197】
いくつかの実施形態では、ウエハとウエハの比較は、上述のレシピセットアップ使用ケース(ウエハスケールでのin−situ後処理)のノイズ測定とほぼ同じである。ここでの目的は、ウエハのデータのあらゆるフレームの各領域タイプ(仮に、低、中、高ノイズレベルの3つの領域タイプとする)の各グレーレベルインターバル(仮に16インターバルとする)のノイズを比較することである。このように、「基準ウエハ」を走査して、そのノイズマップをメモリに持っておくことができる。さらに、基準ウエハ上の全てのダイの各ダイ/フレーム/領域の「スタックドダイ」サマリも、ノードが検査するダイフレームの各ノードに接続される記憶媒体に持っておくことができる(下記にさらに記載の図5を参照のこと)。各テストウエハが走査される時に、各ダイ/フレーム/領域のノイズ/プロパティが抽出され、基準ウエハ値と比較される。同様に、スタックドダイサマリは、各テストウエハの最後に生成される。ウエハ規模、そしてスタックドダイサマリは基準値と比較され、そして各テストウエハ走査の最後に、異常がホストコンピュータに報告される。ノードは前のNウエハの各ダイ/フレーム/領域の傾向(前回のウエハからの変化率)とサマリを記録する。1つのロットまたはいくつかのロットの終わりに、ノードはウエハの各ダイ/フレーム/領域の記録した傾向に基づき、異常を報告することができる。このステップは、多量の画素から数千の異常値のみが「結果」として報告される欠陥検出のアイディアと似ている。
【0198】
図5はウエハシグネチャモニタリングの処理シーケンスの一実施形態を示す。具体的には、図5に示すように、ステップ96でホストコンピュータ98は、一組のノードの1つであるノード100に、開始基準ウエハ走査コマンドを送り、そして各サブスワースは1つのノードによって処理される。ノード100とそれが含まれる一組のノードは、本明細書に記載するようにさらに構成することができる。ホストコンピュータ98はVIに含んでもよく、本明細書にさらに述べるように、各ノードに接続してもよい。開始基準ウエハ走査コマンドを受信すると、センサ102は基準ウエハ104を走査し、そしてステップ106で、ノード100は基準ウエハを走査して、各フレームの領域タイプ毎の各ダイのノイズ/プロパティを記録する。ステップ106で、ノード100もダイ/フレーム/領域ノイズの測定値をノードメモリに保存する。ステップ108で、ホストコンピュータは基準ウエハ走査完了コマンドをノード100に送る。ステップ110で、ノードはスタックドダイの解析を行う。各ノードは、それが処理したフレームの解析を行う。ノードはスタックドダイ/フレーム/領域の統計を各ノードに接続される記憶媒体に記憶する。
【0199】
ステップ112で、ホストコンピュータ98は、検査ウエハ1(テストウエハ1)コマンドをノード100に送る。検査ウエハ1コマンドを受信すると、センサ102はテストウエハ114を走査し、そしてステップ116で、ノード100はテストウエハを走査して、ダイ/フレーム/領域毎のノイズ/プロパティを計算する。このステップで、ノードはまた、ダイ/フレーム/領域毎のノイズ/プロパティを基準と比較する。さらにノードはスタックドダイの解析を行い、基準のスタックドダイと比較する。ノードはそれから異常をホストコンピュータに報告し、ダイ/フレーム/領域毎の傾向を更新する。具体的に、ステップ118に示すように、ノードはウエハとスタックドダイの異常をホストコンピュータに報告する。
【0200】
ステップ120で、ホストコンピュータ98は検査ウエハ2(テストウエハ2)コマンドをノード100に送る。検査ウエハ2コマンドを受信すると、センサ102はテストウエハ122を走査し、そしてステップ124で、ノード100はテストウエハを走査して、ダイ/フレーム/領域毎のノイズ/プロパティを計算する。このステップで、ノードはまた、ダイ/フレーム/領域毎のノイズ/プロパティを基準と比較する。さらに、ノードはスタックドダイの解析を行い、基準のスタックドダイと比較する。ノードはそれから異常をホストコンピュータに報告し、ダイ/フレーム/領域毎の傾向を更新する。特にステップ126に示すように、ノードはウエハとスタックドダイの異常をホストコンピュータに報告する。
【0201】
ウエハ1と2の上述のステップは、Nウエハ分繰り返すことができる。例えば、ステップ128で、ホストコンピュータ98は検査ウエハN(テストウエハN)コマンドをノード100に送る。検査ウエハNコマンドを受信すると、センサ102はテストウエハ130を走査し、ステップ132で、ノード100はテストウエハを走査し、ダイ/フレーム/領域毎のノイズ/プロパティを計算する。このステップで、ノードはまた、ダイ/フレーム/領域毎のノイズ/プロパティを基準と比較する。さらに、ノードはスタックドダイの解析を行い、基準スタックドダイと比較する。ノードはそれから異常をホストコンピュータに報告し、ダイ/フレーム/領域毎の傾向を更新する。具体的には、ステップ134に示すように、ノードはウエハとスタックドダイの異常をホストコンピュータに報告する。さらに、ステップ136に示すように、ノードはウエハとスタックドダイの傾向(異常)をホストコンピュータに報告する。
【0202】
上述の方法は、検出器によって生成され、そしてプロセッサノードによって受信された時の画像データの代わりに、記憶された画像データを使って実行してもよい。このように、上述の方法はオフラインで行ってもよい。さらに上述の方法は、ウエハの一部分のノイズと/またはプロパティの決定と、そしてノイズと/またはプロパティの基準との比較を含んでもよい。さらにこの方法は、ダイ、フレーム、領域またはそれらのいくつかの組み合わせについて行ってもよい。
【0203】
ウエハとウエハの比較用の市販品はない(ウエハとウエハの比較は現在使用されている方法とシステムでは実用的ではないから)が、記憶されたダイ画像を、検査されるウエハの基準として使用することができる。しかしながら、全体的なウエハを基準として使用する手段は現在ない。さらに、パターンノイズが複数のウエハの各ウエハの同じサイトよりもウエハ全体でより多く変化する場合には、ウエハを比較することによって、より感度の高い検査を行うことが可能である。
【0204】
このような一実施形態では、ウエハとその他のウエハは、プロセスの少なくとも1つのウエハレベルパラメータの異なる値を使って処理される。例えばVIは、同じウエハ上にテストと基準の両方のダイを有するという従来の制限を緩和する。この能力は、パターン依存欠陥(例えば、空間的に系統的な欠陥)への影響を評価するためにウエハレベルプロセスパラメータ(例えばエッチングタイム)が調節される、プロセスウィンドウ検証(PWQ)の実験に特に役に立つ。
【0205】
本明細書に記載する実施形態は、どんな方法(例えば、ウエハレベルプロセスパラメータ変動試験とウエハ全体のプロセスパラメータ変動試験)でも実行されるPWQでも使用することができる。例えばVIは、VIの記憶媒体のアレイにPWQウエハの画像を記憶することによって、PWQ方法論と併せて使うことができる。記憶された画像はまた、より多くの情報が様々な「ホットスポット」解析ソース(例えば、レチクル検査、製品DBB、物理的故障解析など)からわかってくると、「仮想ウエハ」の再検査に使用することができる。「ホットスポット」は、キラー欠陥が存在する可能性のあるウエハ上に印刷されたデザインデータ内の場所として通常定められる。
【0206】
本明細書に記載する欠陥検出は、ツールモニタリングなどの任意のアプリケーションに実行することができる。さらに本明細書に記載する実施形態は、同じプロセスで処理される各ウエハのいくつかのダイの履歴的画像データを保存するために構成、使用してもよい。本明細書に記載する一組のプロセッサノードは、このような履歴的画像データを使って、プロセスの安定性の評価と/またはモニタリングの変更を行うことができる。例えば、検査プロセスの安定性は、本明細書にさらに述べるように、記憶された画像データを使ってモニタすることができる。このような一例では、検査システムで使用される光源のゲインとオフセットは、ウエハ全体またはウエハの大きな部分の記憶された画像データを使ってモニタすることができる。従って、検査プロセスのモニタ(例えば、その中で、ウエハ上の1つの場所は、ウエハからウエハへの検査プロセスの変化をモニタするために使用される)に現在使われているものよりも、かなり多くの画像データを検査プロセスのモニタに使用することができる。よって、本明細書に記載する記憶された画像データは、検査プロセスのより多くのパラメータをより正確にモニタするために使用することができ、これは有利である、というのも、検査プロセスの2つ以上のパラメータは同時にドリフトするからである。
【0207】
記憶された画像データと/または記憶された画像データを使って決められたウエハと/またはプロセスに関する情報もまた、高解像度データ収集のトリガに使用することができる。例えば、高解像度データの収集は比較的高価だが、高解像度データ収集は、プロセスに関するより多くの情報(例えば、単にプロセスが制御制限内であるかまたは制限外であるか以上の情報)を決めるのに使うことができる。記憶された画像データはウエハに関する情報をより多く含み、本明細書に記載する多くの様々な方法で処理することができるので、このような記憶された画像データは、高解像度データ収集のトリガに使用することができ、よって、高解像度データの不必要な収集を減らし、そうすることが有益である場合には、高解像度データが確実に収集されるようにする。さらに本明細書に記載する実施形態は、トリガされたイベントに基づいて、リアルタイムで高解像度データを記憶するように構成することができる。例えば、高解像度データを記憶する決定は、製作に発生するイベントに基づいて、リアルタイムで行ってもよい。高解像度画像データの収集は、ダイに関する情報を、ユーザがダイ画像を使って非常に詳細まで見ることができるように、ズームするために使用することのできる高解像度ダイ画像を収集するために行うことができる。
【0208】
一実施形態では、一組のプロセッサノードは、検査システムよりも低い処理量と高い解像度を持つ別の検査システムの検査サンプルプランを識別するために、記憶媒体のアレイに記憶された画像データのオフライン画像解析を行うように構成される。例えば、本明細書にさらに記載するオフライン画像解析は、KLA−Tencorから購入できるEBIシステムなどの、より遅く、より高い解像度検査システムの検査サンプルプランを識別するために使用することができる。このような一例では、ウエハは比較的速くて小さな画素の検査システムを使って走査することができ、走査によって生成された画像データは本明細書にさらに述べるように、ディスクに記憶することができる。それから一組のプロセッサノードはオフラインで画像が処理され、より遅く、高い解像度のシステム(例えばeビーム)のためにインテリジェントサンプリング領域が生成される。このようにして、一組のプロセッサノードはインテリジェントサンプリングプラン生成のために構成することができる。
【0209】
一実施形態では、画像データはウエハ上の層のために生成され、FAと/または電気的試験がウエハ上で行われる(例えば、1つ以上の追加層がウエハ上に形成された後に)。ウエハ上に形成された1つ以上の追加層は、当技術分野で周知の任意の適切なプロセスを使って形成される、本明細書でさらに述べる層を含む当技術分野で周知の任意の適切な層を含んでもよい。FAと/または電気的試験は、本明細書にさらに述べるFAと電気的試験テクニックのいくつかの使用を含む、任意の適切な方法で行ってもよい。
【0210】
一実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハの1つ以上の特性が電気的試験の結果に原因として関連しているかどうかを決めるように構成される。それらがFAの結果に原因として関連しているかどうかを決めるために評価することのできるウエハの1つ以上の特性には、欠陥だけではなく、記憶された画像データから決めることのできるウエハに関するその他の情報が含まれる。このような情報には、ウエハ上の層の粗さに関する情報、ウエハ上の層のグレインサイズに関する情報、ウエハ上の層の厚さのばらつきに関する情報、記憶された画像データから決めることのできるその他の情報を含むことができる。
【0211】
このように、本明細書に記載する実施形態は、仮想FAのために構成してもよい。仮想FAは通常、電気的試験データが収集された後に記憶されたウエハ画像の検査である。例えば、一組のプロセッサノードは、電圧コントラストSEM検査、eビームプローブ、ビルトインセルフテスト、従来の電気的プロービングなどを含むが、これらに限定されない多くの電気的に作動する手段によって、後に処理されるウエハ上で検出される電気回路の故障を生じさせる可能性のある欠陥ソースを効率的に特定する手段として、VIのウエハ/ダイ/サブ・ダイ大量画像記録機能を使うように構成してもよい。含まれる回路は、機能的製品回路(例えば、高度のロジックまたは記憶素子)または、高解像度の故障可観測性と可診断性のために特にデザインされた試験構造であってもよい。
【0212】
一実施形態では、一組のプロセッサノードを、電気的試験の結果のウエハの物理的場所へのマッピングと合わせて使って、記憶媒体のアレイに記憶された画像データで行われる検査プロセスの1つ以上のパラメータを変えるように構成される。例えば、一組のプロセッサノードは、電気的試験からのフィードバックと、そしてFA結果のあるまたはないメモリビットマッピングまた論理マッピングに基づいて、検査を調節するように構成してもよい。このような一例では、電気的試験(または上述の代替方法の1つ)は、電気的(または論理的)なものの物理的なものへのマッピングのためのデータ解釈テクニックに従って行われ、検出された欠陥との相互関係のために、関心領域の境界を提供し、「誘導された」検査の関心領域を定める。この動作の共通のテクニックには、メモリ「ビットマッピング」と「論理マッピング」が含まれる。電気的試験、ビットマッピング、論理マッピングまたは物理的FAテクニックは連続的に、またはVIと一体化したリアルタイムフィードバックシステムで使用することができる。データ依存診断フローを構築することにより、これらのプロセスの何れかによって獲得された情報は、検査結果と電気と/またはFA結果との間の因果関係を示す関係に変換するための、人間の介入のあるなしにかかわらず、他のプロセスのいずれかに動的にフィードフォワードすることができる。このようにして、検査と/または検査最適化とテスト、ビットマッピング、論理マッピング、FA動作、またはそれらのいくつかの組み合わせの間の関係は、連続する必要はない(結果は多くの異なる方法でフィードフォワードまたはフィードバックすることができる)。
【0213】
本明細書に記載する仮想FAの1つの代替案は、電気的試験の結果、回路の知識、そして故障挙動モデルから決定されたウエハの領域で、破壊的物理解析を行うことである。しかしながら、この方法は非効率である、というのも、物理的な解析には高価な装置とスキルのある人材が必要となり、そして最も可能性の高いプロセス層(zコーディネート)と故障を発生させた欠陥の場所(2Dxとyの場所)の正確な場所がはっきりしないからである。
【0214】
本明細書に記載する仮想FAの1つの代替は、物理的解析で従来のインライン光学検査を行うことである。例えば、物理的解析は光学検査によって増大させることができ、ウエハの処理中に以前存在した欠陥の場所を特定することができる。具体的には、フローには、検査システムによるインラインの検査、ウエハの電気的な試験、検査結果と電気的試験の結果の間に相互関連を見つけること、そして「ヒット」(例えば、相互関係を呈する場所)を有する場所での、SEM/FIBカットなどの物理的解析の実行を含むことができる。上述の従来のFA方法論に関する追加情報は、本明細書に参照として組み込む、Vij氏らによる、「テキサスインスツルメント−論理マッピングの挑戦と長所」(2003年)というプレゼンテーションに記載されている。しかしながら、この方法は信号ノイズ比が不確実であることに影響を受ける。具体的には、検査の時には電気的故障の起こる場所はわからないので、テストされる領域全体を同等に取り扱わなければならない。
【0215】
本明細書に記載するVI実施形態を使って、上述のフローは、電気的に重要な欠陥の場所をさがす機会を増やすために、記憶されたウエハ画像データを使ったテストの後に再検査を挿入することによって増大させることができる。このフローでは、基本的に、検査システムでインライン検査を行い、ウエハを電気的に検査し、初回検査結果の原因ではない電気的故障を持つ回路に注目してウエハを再検査し(記憶された画像データを使って)、相互作用をさがし、そして「ヒット」または比較的強い相互関係を持つ場所で、SEM/FIBカットなどの物理的解析を行う。これらの各ステップは本明細書にさらに述べるように行ってもよい。
【0216】
別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハの検査の1つ以上の欠陥検出パラメータを選択し、そして記憶媒体のアレイに記憶された画像データを使って検査が行われように構成される。例えば、仮想FAは、フローの電気的試験ステップから取得された事前知識を活用して、DOIを含んでいそうな領域へ、より特定的に検査ステップを導くように使ってもよい。このようにして、電気的試験データが収集された後の記憶されたウエハ画像の検査によって、特定のウエハ上の先験的な電気的故障データに基づいた、誘導的な検査の最適化が可能になる。具体的には、FA結果と本明細書に記載する記憶された画像データを使うことによって、検査時には関心がなかったが、FA結果によって後に関心のあるものとなった欠陥を、記憶された画像データ内で識別することができる。さらに、仮想FAの結果は、ウエハ全体(またはウエハの一部分)の記憶された画像データを電気的歩留まりに関連付け、そして相互関連の結果に基づいてレシピを調整することによって、検査レシピのセットアップに使用することができる。これによって、より高い感度の検査が可能となり、よって、成功の可能性を最適化することができる、このように、電気的結果と/またはFAの結果は履歴ベースの検査レシピセットアップに使用することができる。このような検査レシピセットアップの1つの利点は、結果としての検査レシピが、周知のキラー欠陥(または電気的に重大な欠陥)の検出のために最適化されることである。
【0217】
このようないくつかの実施形態では、VIは、検査がエンドオブライン(EOL)試験によって検出された変動(excursion)をどうして見落としたのかを理解するのが難しい時でさえも、検査レシピのウエハ最適化後に使用することができる。例えば、クリティカルウエハの全部または一部分は本明細書にさらに述べるように、複数の光学モードを使って走査することができる。さらに、画像は本明細書にさらに述べるように、VIに記憶することができる。その後ウエハはプロセスを通してEOL試験に送ってもよい。EOL試験後、検査によって検出された欠陥のVennダイアグラムとEOL検査の結果を作成し、検査によってどの欠陥が見落とされたを特定するために使うことができる。VIに記憶された画像はそれから、ウエハの記憶された画像の検出を見落とした場所を再訪問するために使用してもよく、そしてレシピは記憶された画像に基づいて最適化することができる。
【0218】
追加の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハ上の欠陥が電気的試験の結果を生じさせた可能性を特定するために構成される。例えば、仮想FAは、層と関心領域の検査可能な画像が次の検査/試験のために記憶されるVIインライン画像データ記憶を含んでもよい。画像データは、場合によっては、試験チップのみまたは電気的試験と/または電気的FAが行われるウエハの一部分のみのために記録されることがある。さらに、一組のプロセッサノードは、ダイのかなりの部分が関心部分ではない場合に、ケア領域に関する情報を使って、画像の記憶容量を確保するように構成してもよい。このようにして、実際に、VIは処理によって層が埋められた後に、ユーザがデバイスの非破壊的検査層によって「因果関係を拒否する」ことができるようにする。複数の光学モードを使って、故障を起こすDOIを検出する機会を最適化することは有利である。また、複数の検査プラットホームからVIに記憶される画像データをDOI検出の可能性の最大化のために要求してもよい。複数の検査プラットフォームには、様々な光学モードまたは様々な検査プラットホームを一緒に含むことができる(例えば、1つの検査プラットホームは電子ビーム検査プラットホーム、別の検査プラットホームはBFプラットホームなど)。DOIを一番検出しそうな光学モードに関係なく、最高の画像解像度を提供する光学モードで画像を収集することでユーザの解釈結果を助けることは有利である。さらに、十分に正確な配置とデザインは、観察された電気的信号と後で検出された欠陥信号の間の、十分に正確な転換を確実にするためには最適であろう。
【0219】
このような仮想FAは、電気的に試験可能な回路が刺激と測定のために提案され、そして電気的故障のみられる試験条件が記録される電気的検査/試験を含んでもよい。関心回路は、効率的な検査のためにEBIシステムによって特別にデザインされた、KLA−Tenkorマイクロ・ループ構造を含んでもよい。これらの「ショートループ」の使用ケースはより実用的である、というのも、それらは限られたプロセスステップ数を含み、そして高診断解像度を有するからである。つまり、検査に必要な画像領域は、DOLを検出する可能性をコンプロマイズしない試験の後で、根本的に減らすことができる。このように、診断のために撮像されるウエハの領域は比較的小さくてもよい。例えば、検査のために必要な領域はウエハ上のダイの領域でもよく、これは電子ビーム検査システムを使うことによって撮像してもよい。
【0220】
さらに、電子ビーム画像またはその他の比較的高い解像度の領域が検査のために取得され、そして対応する高解像度の画像データが本明細書にさらに述べるように記憶されると、記憶された高解像度画像データは、VIを使った1つ以上の欠陥レビュー機能を行うために使用することができる。このようにして、VIは「仮想レビューシステム」としても使用することができる。仮想レビューシステムは、本明細書に記載するVI実施形態の多くの利点を有する(例えば、ウエハの放射線への露出を減らす、レビューシステムでの物理的ウエハの使用を減らし、よって、物理的ウエハとレビューシステムを他のタスクへ開放するなど)。
【0221】
構造は、バイアチェーン、トランジスタアレイ、蛇行状、櫛状の導体、コンデンサなどの、精査することのできる特殊の試験構造でもよい。構造はメモリブロックまたはランダム論理を含む機能性製品チップまたは試験チップでもよい。製品チップは「組み込み事故テスト(BIST)を行う搭載試験電気回路をたいてい有する。
【0222】
方法に関係なく、意図するところは、故障が物理的に発生するウエハ上の物理的位置の故障電気的挙動とウエハ上の欠陥との間にマッピングを提供することである。標準のFA問題解決方法は、このフローの次のステップで、詳細を調べるウエハの候補領域と層の範囲を絞り込むための故障挙動の解釈に使用することができる。例えば、カリフォルニア州サンノゼのMagma Design Automation社から購入できるLogicMapTMを、疑わしい一組の信号を有するインライン欠陥検査データと走査診断フローによって生成されるゲートとの統合に使用して、高い信頼レベルを持つ疑わしいプロセスステップを識別してもよい。次に行われる検査の「ケア領域」をフローのこの時点で生成してもよい。
【0223】
さらに、このような仮想FAは欠陥検査を含んでもよい。例えば、電気試験の結果によって示される領域と層を優先的に検査するVIに検査レシピを使って、欠陥検出アルゴリズムの条件は最適化され、そしてインライン画像データの関連するサブセットに適用することができる。欠陥のある試験構造の検査は、KLA−Tenkor EBI systemsが2回目のパスマイクロループ検査に行うものと類似している。この能力はVIに加えることができる。それからVIは候補の欠陥を検出し、観察した故障を起こす可能性の順番にそれらをランク付けしてもよい。
【0224】
仮想FAの使用方法の1つの特別な例には、プロセス層で、関心光学モードのM1・M2バイアチェーン構造の周りにケア領域を有するウエハのテストチップの画像データの記憶を含み、これには、Mlトレンチリソ、エッチング、ポスト・銅(CU)化学機械研磨(CMP)、バイアリソ、ポスト・バイアエッチング、ポスト・タングステン(W)プラグCMP、ポスト・M2トレンチリソ、ポスト・M2トレンチエッチング、ポスト・M2Cu・CMPを含むことができる。このような仮想FAはまた、M1・M2バイアチェーンのパラメトリックテスタでの試験とその構造、またはその構造のどの部分が電気的に故障しているかの記録を含むこともできる。このような仮想FAはさらに、故障のある試験サイトのためだけの「マイクロループのような」ケア領域の生成を含んでもよい。さらに、このような仮想FAは、記憶された「仮想ウエハ」画像の検査と観察された故障を起こした可能性のある欠陥の識別を含んでもよい。
【0225】
さらなる実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データ、電気的試験の結果、デザインデータ、ネットリストデータを使って、ウエハ上の欠陥が電気的試験の欠陥を生じさせた可能性を特定するために使用するように構成される。例えば、ネットリストデータと物理的デザインデータを一緒に使うことは、ケア領域の特定を行うのに最も実用的な方法だろう。これらのツールは、電気的試験と/またはFAと故障診断のためにデザインされた、コンピュータ支援デザイン(CAD)ナビゲーションシステムで通常一緒に使用される。例えば、電気的結果の根本的原因の物理的な場所へのマッピングは、特に論理エリアまたは素子においては、常に簡単ではない。しかしながら、ネットリストデータと組み合わせたデザインデータは、ウエハの電気的特徴をウエハの物理的(欠陥)特徴にマップするために、電気的試験と/またはFA結果と共に使用することができる。よって、マッピングは、ウエハの記憶された画像データを使った検査が、物理的欠陥が実際にその場所に位置するかどうかを特定するために使用することができるように、ウエハのどこに候補欠陥(電気的試験と/またはFA結果を生じさせた欠陥)が位置するのかを特定するために使用してもよい。
【0226】
さらに別の実施形態では、一組のプロセッサノードは、記憶媒体のアレイに記憶された画像データと電気的試験の結果を使って、ウエハ上の欠陥が電気的試験の結果を生じさせた可能性を特定するように構成され、そして一組のプロセッサノードは、その可能性に基づいて、ウエハ上で行われる物理的解析の1つ以上のパラメータを決定するように構成される。例えば、物理的FAは、上述のように検出され、観察された故障を起こした可能性の最も高い欠陥の正確な場所によって行われ、そして誘導されてもよい。本明細書に記載する実施形態によって特定される物理的解析の1つ以上のパラメータは、物理的解析の任意の調節可能なパラメータを含んでもよい。この物理的解析は、当技術分野で周知の任意の物理的解析を含んでもよい。
【0227】
一組のプロセッサノードはまた、記憶媒体のアレイに記憶された画像データと電気的試験の結果と/またはFAを使って、1つ以上の追加欠陥関連機能または方法のセットアップまたは調整を行うように構成してもよい。例えば、一組のプロセッサノードは、記憶された画像データと電気的試験/またはFAの結果を使って、検査結果に基づいて欠陥のソースまたは根本的原因が特定される、欠陥ソース解析(DSA)を行うアルゴリズムまたは方法のセットアップまたは調整を行うように構成してもよい。さらに、記憶された画像データはウエハ上の複数の層の画像データを含むので、欠陥が検出された層以外の層に発生した根本的原因が、検査結果に関連付けされることのできるように、DSAのセットアップまたは調整をしてもよい。例えば、ウエハ上の1つの層の根本的原因は、追加の層がウエハ上に形成されて検査された後に明らかになる。さらに、ウエハの1つの層上の根本的原因は、層の検査中には欠陥として検出されない。しかしながら、ウエハ上の複数の層の画像データは本明細書にさらに述べるように記憶することができるので、複数の層の記憶された画像データは、ウエハの1つの層で検出された欠陥と、ウエハの他の層の記憶された画像データとの間に相互関係があるかどうかを特定するために、まとめて処理することができる。
【0228】
プロセッサノードのVIカラムによって行われることのできる機能に関して、実施形態を上に記載したが、各機能はこのシステムに含まれる別の一組のプロセッサノードと/または別のコンピュータによって行われてもよいと理解されたい。例えば、本明細書に記載する永続的データを使うアプリケーションは全て、莫大な量の情報(ノードメモリからの)を、同じ結果を出すことのできる解析のために、ホストコンピュータにアップロードすることによって実行することができる。さらに、本明細書に記載する永続的データを使ったアプリケーションは、システムとプロセッサノードに含まれるホストコンピュータへのソフトウェアの修正を介して、そしてこれらのアプリケーションを支持するために、ノードに任意の適切なメモリを割当て(追加)することによって、実行することができる。しかしながら、結果を得るレイテンシーは重大であり、そして必要とされる処理では、ノード自身に固有のマルチプロセッサノードの容量は利用されないだろう。
【0229】
上述のシステムの各実施形態は、本明細書に記載する他の実施形態に従ってさらに構成することができる。
【0230】
別の実施形態は、検査システムを使ってウエハを走査することによって生成される画像データを記憶する方法に関する。このように、方法にはウエハの永続的データの作成を含むことができる。方法は、ウエハの走査中に検査システムの検出器によって生成される画像データの様々な部分の別々の受信を含む。画像データの様々な部分の別々の受信は、本明細書でさらに記載するように行うことができる。方法にはまた、ウエハの走査中に検出器によって生成された画像データの全てまたは画像データの選択された部分が、記憶媒体の異なるアレイに記憶されるように、異なる部分または異なる部分の選択された部分の記憶媒体の異なるアレイへの別々の送信も含まれる。記憶媒体の異なるアレイに異なる部分または異なる部分の選択された部分を別々に送ることは、本明細書にさらに述べるように行ってもよい。
【0231】
上述の方法の実施形態は、本明細書に記載するシステム実施形態のいずれかによって行ってよい。さらに、上述の方法の実施形態は、本明細書に記載する任意の他の実施形態の任意のステップと/または機能の実施を含んでもよい。
【0232】
追加の実施形態は、ウエハ上の欠陥を検出するために構成される検査システムに関する。システムは、ウエハの走査によってウエハの画像データを生成するように構成される検査サブシステムを含む。このようなシステムの一実施形態を図6に示す。例えば、図6に示すように、システムには、検査サブシステム138が含まれる。検査サブシステム138は、ウエハの走査によってウエハ140の画像データを生成するように構成される。
【0233】
一実施形態では、検査サブシステム138は光源142を含む。光源142は、当技術分野で周知の任意の適切な光源を含んでよい。光源142は光をビームスプリッタ144に向けるように構成してもよい。ビームスプリッタ144は光源142からウエハ140にほぼ通常の入射角で光を向けるように構成してもよい。ビームスプリッタ144は、当技術分野で周知の任意の適切な光学コンポーネントを含んでよい。
【0234】
ウエハ140に反射した光は、ビームスプリッタ144を通って検出器146に到達する。検出器146は、検出器によって検出された光に反応して画像データを生成するように構成される。検出器146によって生成された画像データは、ウエハ140上の欠陥を検出するために使用される。例えば、システムは、検査サブシステムに接続される一組のプロセッサノードを含む。特に図6に示すように、システムは検出器146に接続される一組のプロセッサノード20を含む(例えば、それぞれが本明細書に記載するように構成される、プロセッサ14、一組のプロセッサノード16、一組のプロセッサノード18を介して)。一組のプロセッサノードは、本明細書に記載する実施形態のいずれかに従って、検査サブシステム(例えば、検査サブシステムの検出器)に接続される。プロセッサノードのそれぞれは、ウエハの走査中に検査サブシステムによって生成された画像データの一部分を受信するように構成される。例えば、一組のプロセッサノードは、一組のプロセッサノードが検査サブシステムによって生成された画像データを受信できるように、本明細書に記載する実施形態のいずれかに従って、検査サブシステム(例えば、検査サブシステムの検出器)に接続される。さらに、プロセッサノードのそれぞれは、各プロセッサノードによって受信される画像データの一部分を使って、ウエハ上の欠陥を検出するように構成される。プロセッサノードのそれぞれは、本明細書に記載する実施形態のいずれかに従って、各プロセッサノードによって受信された画像データの一部分を使って、ウエハ140上の欠陥を検出するように構成される。さらに、検査サブシステムが2つ以上の検出器(図示せず)を含む場合には、一組のプロセッサノードは上述のように各検出器に接続される。一組のプロセッサノードは本明細書に記載するようにさらに構成してもよい。
【0235】
システムはまた、プロセッサノードのそれぞれに別々に接続される記憶媒体のアレイを含む。例えば、図6に示すように、システムは、プロセッサノード20のそれぞれに別々に接続される記憶媒体のアレイ22を含む。プロセッサノードは、ウエハの走査中に検査サブシステムによって生成された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに記憶されるように、プロセッサノードによって受信された画像データの全てまたは画像データの選択された部分が記憶媒体のアレイに送られるように構成される。一組のプロセッサノードは、本明細書に記載する実施形態のいずれかに従って、このようにして構成してもよい。記憶媒体のアレイは本明細書に記載するようにさらに構成してもよい。
【0236】
検査中、ウエハ140はステージ148に配置してもよい。ステージ148は、当技術分野で周知の任意の適切な機械的と/またはロボットのアッセンブリーを含んでもよい。ステージと検査サブシステムは、任意の適切な方法でウエハを走査するように構成してもよい。図6に示す検査サブシステムは、当技術分野で周知の任意の他のコンポーネント(図示せず)を含んでよい。
【0237】
図6に示すように、検査サブシステムは、ウエハから鏡面的に反射される光を検出するように構成される。このようにして、図6に示す検査サブシステムは、BF検査サブシステムとして構成される。しかしながら、検査サブシステムはDF検査サブシステム、エッジコントラスト(EC)検査サブシステム、アパーチャモード検査サブシステムまたは当技術分野で周知の任意のその他の光学検査サブシステムとして構成される検査サブシステムと置き換えてもよい。さらに、検査サブシステムは1つ以上の検査モードを行うように構成してもよい。例えば、図6に示す検査サブシステムは、光がウエハに向けられる入射角、そして/または光がウエハから収集される角度を変えることによって、DF検査を行うように構成してもよい。別の例では、図6に示す検査サブシステムは、検査サブシステムが検査のECモードと/またはアパーチャモードを行うことができるように、アパーチャなどの1つ以上の光学コンポーネント(図示せず)が、照明パスと収集パスに置かれるように構成してもよい。
【0238】
なお、図6は、本明細書に記載するシステム実施形態に含まれる可能性のある検査サブシステムの構成を示すためのものである。明らかに、本明細書に記載する検査サブシステム構成は、市販の検査システムをデザインする際に通常行うように、検査サブシステムの性能を最適化するために変更してもよい。さらに、本明細書に記載するシステムは、KLA−Tencorから購入できるPuma9000と9100シリーズのツールに含まれる検査サブシステムなどの既存の検査サブシステム(例えば、本明細書に記載する機能を既存の検査サブシステムに追加して)を使って行ってもよい。あるいは、本明細書に記載するシステムは、完全に新しいシステムを提供するために、「一から」デザインしてもよい。
【0239】
別の実施形態では、図6に示す光学検査サブシステムは、電子ビーム検査サブシステムと置き換えてもよい。図6のシステムに含めることのできる市販の電子ビーム検査サブシステムの例には、KLA−TencorからのeS25,eS30,eS31システムに含まれる電子ビーム検査サブシステムが含まれる。図6に示す実施形態は、本明細書に記載するようにさらに構成してもよい。
【0240】
この記載に照らして、本発明の様々な態様のさらなる改造や代替の実施形態が当業者にとって明らかとなるであろう。例えば、ウエハの永続的データを作成するシステムと方法と、検査関連機能への永続的データの使用が提供される。従ってこの記述は、説明のみのために、当業者に本発明を実施する一般的なやり方を教える目的で提供されると解釈されたい。本明細書に示し、記載する本発明の形態は、現在の好適な実施形態の例にすぎないと理解されたい。本発明の本記載の利益を得た当業者には明らかであるように、要素や材料は本明細書に説明、記載するものと置き換えてもよく、部品やプロセスは反対にしてもよく、そして、本発明の特定の特性は個々に使用してもよい。下記の請求項に記載する本発明の趣旨および範囲から逸脱することなく、本明細書に記載する要素に変更を行ってもよい。

【特許請求の範囲】
【請求項1】
検査システムでウエハを走査することによって生成された画像データを記憶するように構成されるシステムであって、
検査システムの検出器に接続される一組のプロセッサノードであって、該プロセッサノードのそれぞれは、ウエハの走査中に検出器によって生成された画像データの一部分を受信するように構成される一組のプロセッサノードと、
前記プロセッサノードのそれぞれに別々に接続される記憶媒体のアレイであって、前記プロセッサノードはさらに、前記ウエハの走査中に前記検出器によって生成された前記画像データの全てまたは前記画像データの選択された部分が前記記憶媒体の前記アレイに記憶されるように、前記プロセッサノードによって受信された前記画像データの全てまたは前記画像データの選択された部分が前記記憶媒体の前記アレイに送られるようにさらに構成される、記憶媒体のアレイとを含むシステム。
【請求項2】
前記記憶媒体の前記アレイのそれぞれは、個々のディスクドライブの冗長アレイを含む、請求項1に記載のシステム。
【請求項3】
前記記憶媒体の前記アレイのそれぞれはコンピュータメモリを含む、請求項1に記載のシステム。
【請求項4】
前記記憶媒体の前記アレイのそれぞれは磁気記憶システムを含む、請求項1に記載のシステム。
【請求項5】
前記一組のプロセッサノードはプロセッサノードのカラムとしてさらに構成され、そして前記システムは前記プロセッサノードの前記カラムに接続されるプロセッサノードの1つ以上の追加カラムをさらに含む、請求項1に記載のシステム。
【請求項6】
前記プロセッサノードのそれぞれは画像コンピュータを含み、そして該画像コンピュータはアドバンスド・スイッチング・インターコネクト・ファブリックを使って相互に接続される、請求項1に記載のシステム。
【請求項7】
前記一組のプロセッサノードはプロセッサノードのカラムとしてさらに構成され、前記システムは、前記プロセッサノードの前記カラムに接続されるプロセッサノードの追加カラムをさらに含み、該追加カラムのプロセッサノードは、前記検出器によって生成された前記画像データの取得、前記検出器によって生成された前記画像データの前処理、前記検出器によって生成された前記画像データの画像バファリングを行うように構成され、そして前記追加カラムの前記プロセッサノードは、前記アドバンスド・スイッチング・インターコネクト・ファブリックにプラグインされる、請求項6に記載のシステム。
【請求項8】
前記ウエハの走査中に前記検出器によって生成された前記画像データは全て、2テラバイト以上の画像データを含む、請求項1に記載のシステム。
【請求項9】
前記記憶媒体の前記アレイに記憶された前記画像データは未加工の画像データを含む、請求項1に記載のシステム。
【請求項10】
前記画像データを使って欠陥検出が行われる前に、前記記憶媒体の前記アレイに前記画像データが記憶される、請求項1に記載のシステム。
【請求項11】
前記一組のプロセッサノードは、前記画像データが前記一組のプロセッサノードによって受信される時に、前記画像データが前記記憶媒体の前記アレイに記憶されるようにさらに構成される、請求項1に記載のシステム。
【請求項12】
前記一組のプロセッサノードと前記記憶媒体の前記アレイは、前記検査システムをシミュレートするように構成されるスタンドアロンのサブシステムとしてさらに構成される、請求項1に記載のシステム。
【請求項13】
前記一組のプロセッサノードと前記記憶媒体の前記アレイは完全な検査システムのプロキシとして使用することのできる、請求項1に記載のシステム。
【請求項14】
前記一組のプロセッサノードと前記記憶媒体の前記アレイは、前記検査システムのユーザインタフェースを模倣するスタンドアロンのサブシステムとして機能するようにさらに構成される、請求項1に記載のシステム。
【請求項15】
前記一組のプロセッサノードは、前記検査システムによって、または前記検査システムを使って行うことのできる1つ以上の機能をシミュレートするために、オフラインモードで1つ以上の機能を行うようにさらに構成される、請求項1に記載のシステム。
【請求項16】
前記一組のプロセッサノードと前記記憶媒体の前記アレイは仮想検査システムとしてさらに構成される、請求項1に記載のシステム。
【請求項17】
前記一組のプロセッサノードは、画像データのストリームが前記検出器によって生成され、そして前記一組のプロセッサノードによって受信される時に、前記記憶媒体の前記アレイに記憶された前記画像データを前記画像データの前記ストリームと組み合わせるようにさらに構成される、請求項1に記載のシステム。
【請求項18】
前記一組のプロセッサノードと前記記憶媒体の前記アレイは前記検査システムに埋め込まれる、請求項1に記載のシステム。
【請求項19】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶される、前記ウエハの走査中に前記検出器によって生成された前記画像データを全て使って、前記ウエハ全体の画像を生成するようにさらに構成される、請求項1に記載のシステム。
【請求項20】
前記ウエハはパターン化されたウエハを含む、請求項1に記載のシステム。
【請求項21】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データを使って、ユーザがナビゲーションのために物理的ウエハを使わずに前記ウエハ全体の画像によってナビゲートできるようにするようにさらに構成される、請求項1に記載のシステム。
【請求項22】
前記一組のプロセッサノードは、前記検査システムの複数の撮像モードで取得された前記ウエハの画像をアーカイブするようにさらに構成され、該アーカイブされた画像は検査レシピのモード選択に使うことのできる、請求項1に記載のシステム。
【請求項23】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データを使って検査レシピを調整するようにさらに構成される、請求項1に記載のシステム。
【請求項24】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶される、前記ウエハの走査中に前記検出器によって生成された前記画像データの全てまたは前記画像データの選択された部分を使って、前記ウエハの検査のための1つ以上の欠陥検出パラメータを選択するようにさらに構成される、請求項1に記載のシステム。
【請求項25】
前記一組のプロセッサノードは、前記ウエハの追加走査を行うことによって生成される追加の画像データを必要とせずに、前記記憶媒体の前記アレイに記憶される、前記ウエハの走査中に前記検出器によって生成された前記画像データを使って、前記ウエハの検査のための1つ以上の欠陥検出パラメータを選択するようにさらに構成される、請求項1に記載のシステム。
【請求項26】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データを使って前記ウエハ上の2つ以上の異なる領域タイプを識別し、前記記憶媒体の前記アレイに記憶された前記画像データを使って前記2つ以上の異なる領域タイプの1つ以上の欠陥検出パラメータを別々に特定することによって、前記ウエハの検査のために1つ以上の欠陥検出パラメータを選択するようにさらに構成される、請求項1に記載のシステム。
【請求項27】
前記検査システムは明視野小画素検査システとして構成され、そして前記一組のプロセッサノードは、前記検査システムによって生成されたウエハの画像をアーカイブするようにさらに構成される、請求項1に記載のシステム。
【請求項28】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データのオフライン画像解析を行って、前記検査システムよりも低い処理量と高い解像度を持つ別の検査システムの検査サンプルプランを識別するように構成される、請求項1に記載のシステム。
【請求項29】
前記一組のプロセッサノードは、前記ウエハの走査中に前記検出器によって生成された前記画像データの全てまたは前記画像データの前記選択された部分が記憶されて初めて、前記ウエハ上の欠陥を検出するようにさらに構成される、請求項1に記載のシステム。
【請求項30】
前記一組のプロセッサノードは、前記ウエハの走査中に前記検出器によって生成された前記画像データの全てまたは前記画像データの前記選択された部分が記憶されて初めて、前記ウエハ上の欠陥を検出するようにさらに構成され、欠陥検出は欠陥検出アルゴリズムを前記画像データに適用することによって行われ、前記欠陥検出アルゴリズムは、該欠陥検出アルゴリズムが前記画像データに適用されることのできる速度に関係なく選択される、請求項1に記載のシステム。
【請求項31】
前記一組のプロセッサノードは、2つ以上の欠陥検出アルゴリズムを前記記憶媒体の前記アレイに記憶された前記画像データに適用することによってウエハ上の欠陥を検出し、前記2つ以上の欠陥検出アルゴリズムは、該2つ以上の欠陥検出アルゴリズの少なくとも1つのパラメータが異なるようにさらに構成される、請求項1に記載のシステム
【請求項32】
前記一組のプロセッサノードは、複数のパスの前記記憶媒体の前記アレイに記憶された前記画像データを処理することによって前記ウエハ上の欠陥を検出するようにさらに構成される、請求項1に記載のシステム。
【請求項33】
前記一組のプロセッサノードは、複数のパスの前記記憶媒体の前記アレイに記憶された前記画像データを処理することによって前記ウエハ上の欠陥を検出するようにさらに構成され、前記複数のパスの1つは、前記ウエハ上の1つ以上の関心領域に対応する前記画像データの1つ以上の部分の識別を含む、請求項1に記載のシステム。
【請求項34】
前記一組のプロセッサノードは、複数のパスの前記記憶媒体の前記アレイに記憶された前記画像データを処理することによって前記ウエハ上の欠陥を検出するようにさらに構成され、前記複数のパスの1つは、前記画像データに1つ以上のアルゴリズムを適用して前記欠陥を抽出し、誤った欠陥の検出を抑制するようにさらに構成される、請求項1に記載のシステム。
【請求項35】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された画像データの複数のストリームを使って前記ウエハ上の欠陥を検出するようにさらに構成される、請求項1に記載のシステム。
【請求項36】
前記一組のプロセッサノードは、画像データの複数のストリームを使って前記ウエハ上の欠陥を検出するようにさらに構成され、該複数のストリームの1つは前記記憶媒体の前記アレイに記憶された前記画像データを含み、前記複数のストリームのもう1つは、前記ウエハの追加走査の際に、前記検出器によって生成された画像データを含み、前記走査と前記追加走査は前記検査システムの1つ以上の異なるパラメータを使って行われる、請求項1に記載のシステム。
【請求項37】
前記一組のプロセッサノードは、データの複数のストリームを使って前記ウエハ上の欠陥を検出するようにさらに構成され、該複数のストリームは前記記憶媒体の前記アレイに記憶された前記画像データ、前記ウエハの統計データ、前記ウエハのデザインデータを含む、請求項1に記載のシステム。
【請求項38】
前記一組のプロセッサノードは、データの複数のストリームを使って前記ウエハ上の欠陥を検出するようにさらに構成され、前記複数のストリームの1つは前記記憶媒体の前記アレイに記憶された前記画像データを含み、前記複数のストリームのもう1つは、前記検査システムによって取得されていないデータを含む、請求項1に記載のシステム。
【請求項39】
前記一組のプロセッサノードは、前記ウエハ検査中に検出された欠陥のビンニングと、前記ウエハ検査の感度領域を決めるために、前記記憶媒体の前記アレイに記憶されたダイのコンテキストマップを使ってウエハ検査を行うようにさらに構成される、請求項1に記載のシステム。
【請求項40】
前記一組のプロセッサノードは、オフラインで生成されたデザインレイアウトからダイのコンテキストマップを生成し、そして結果として生じたダイのコンテキストマップを前記記憶媒体の前記アレイに記憶するようにさらに構成される、請求項1に記載のシステム。
【請求項41】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイにあらかじめ記憶されたウエハを処理するために画像処理アルゴリズムを使ってダイのコンテキストマップを生成し、そして該ダイのコンテキストマップを前記記憶媒体のアレイに記憶するようにさらに構成される、請求項1に記載のシステム。
【請求項42】
前記一組のプロセッサノードは、前記ウエハ上のダイに対応する前記画像データの一部分を標準的基準ダイと比較し、そして前記ウエハ上の前記ダイに対応する前記画像データの異なる部分を前記ウエハ上の異なるダイに対応する前記画像データの対応部分と比較することによって、前記記憶媒体の前記アレイに記憶された前記画像データを使って、前記ウエハ上の欠陥を検出するようにさらに構成される、請求項1に記載のシステム。
【請求項43】
前記一組のプロセッサノードは、前記ウエハ上のダイに対応する前記画像データの一部分を前記ウエハ上の異なるダイに対応する前記画像データの別の部分と比較することによって、前記記憶媒体の前記アレイに記憶された前記画像データを使って前記ウエハ上の欠陥を検出するようにさらに構成され、前記画像データの前記部分と前記画像データの別の部分は前記画像データの単一ストリームに含まれる、請求項1に記載のシステム。
【請求項44】
前記一組のプロセッサノードは、1つ以上のウエハ上の2つ以上のダイの画像データを使って標準的基準ダイを生成し、該標準的基準ダイを前記記憶媒体の前記アレイに記憶するようにさらに構成される、請求項1に記載のシステム。
【請求項45】
前記一組のプロセッサノードは、前記ウエハ上のダイに対応する画像データを標準的基準ダイと比較することによってウエハ検査を行うようにさらに構成され、前記ウエハ上の前記ダイに対応する前記画像データと前記標準的基準ダイは前記記憶媒体の前記アレイに記憶される、請求項1に記載のシステム。
【請求項46】
前記一組のプロセッサノードは、前記ダイに対応する前記画像データが前記検出器から受信される時に、前記記憶媒体の前記アレイに記憶された標準的基準ダイを前記ウエハのダイに対応する前記画像データと比較することによってウエハ検査を行うようにさらに構成される、請求項1に記載のシステム。
【請求項47】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データを使ってウエハプロパティシグネチャ解析を行うようにさらに構成される、請求項1に記載のシステム。
【請求項48】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶される、前記ウエハの走査中に前記検出器によって生成された前記画像データの全てを使ってウエハ全体の画像を生成するようにさらに構成され、前記一組のプロセッサノードは前記画像の局所画像処理と前記画像の非局所画像処理を行うようにさらに構成される、請求項1に記載のシステム。
【請求項49】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データの処理を行い、該処理の結果を使って、前記ウエハの追加画像データを前記ウエハの走査によって取得するか、あるいは前記記憶媒体の前記アレイから取得するかを決めるようにさらに構成される、請求項1に記載のシステム。
【請求項50】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データと前記ウエハのデータの少なくとも1つの追加ソースを使って、前記ウエハの画像を生成するようにさらに構成される、請求項1に記載のシステム。
【請求項51】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記ウエハの前記画像データを別のウエハの画像データと比較するようにさらに構成される、請求項1に記載のシステム。
【請求項52】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記ウエハの前記画像データを別のウエハの画像データと比較するようにさらに構成され、前記ウエハと前記他のウエハは、プロセスの少なくとも1つのウエハレベルパラメータの様々な値を使って処理される、請求項1に記載のシステム。
【請求項53】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶される、前記ウエハの走査中に前記検出器によって生成された前記画像データを全て使って前記ウエハ全体の画像を生成するようにさらに構成され、前記一組のプロセッサノードは、前記ウエハ全体の前記画像を使って、そして前記検査システムによって生成される追加画像データを必要とせずに、レビューシステムによって生成された前記ウエハの前記画像と出力が前記ウエハの検査のための1つ以上の欠陥検出パラメータの選択に使用されるように、前記ウエハ上の欠陥がレビューされる前記レビューシステムに前記画像を送るようにさらに構成される、請求項1に記載のシステム。
【請求項54】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データと電気的試験の結果を使って、前記ウエハの1つ以上の特性が、該電気的試験の該結果に原因として関係しているかどうかを決めるようにさらに構成される、請求項1に記載のシステム。
【請求項55】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データと電気的試験の結果を使って前記ウエハの検査のために1つ以上の欠陥検出パラメータを選択するようにさらに構成され、前記検査は前記記憶媒体の前記アレイに記憶された前記画像データを使って行われる、請求項1に記載のシステム。
【請求項56】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データと電気的試験の結果を使って、前記ウエハ上の欠陥が前記電気的試験の前記結果を生じさせた可能性を決めるようにさらに構成される、請求項1に記載のシステム。
【請求項57】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データ、電気的試験の結果、デザインデータ、ネットリストデータを使って、前記ウエハ上の欠陥が前記電気的試験の前記結果を生じさせた可能性を決めるようにさらに構成される、請求項1に記載のシステム。
【請求項58】
前記一組のプロセッサノードは、電気的試験の結果を、該電気的試験の結果の前記ウエハ上の物理的場所へのマッピングと合わせて使用して、前記記憶媒体の前記アレイに記憶された前記画像データで行われる検査プロセスの1つ以上のパラメータを変更するようにさらに構成される、請求項1に記載のシステム。
【請求項59】
前記一組のプロセッサノードは、前記記憶媒体の前記アレイに記憶された前記画像データと電気的試験の結果を使って、前記ウエハ上の欠陥が前記電気的試験の前記結果を生じさせた可能性を決めるようにさらに構成され、前記一組のプロセッサノードは、該可能性に基づいて、前記ウエハ上で行われる物理的解析の1つ以上のパラメータを決めるようにさらに構成される、請求項1に記載のシステム。
【請求項60】
前記画像データは、前記ウエハの走査中に前記検査システムの2つ以上の光学的配置を使って生成される、請求項1に記載のシステム。
【請求項61】
ウエハ上の欠陥を検出するように構成される検査システムであって、
ウエハの走査によって該ウエハの画像データを生成するように構成される検査サブシステムと、
前記検査サブシステムに接続される一組のプロセッサノードであって、該プロセッサノードのそれぞれは前記ウエハの走査中に前記検査サブシステムによって生成された前記画像データの一部分を受信するように構成され、前記プロセッサノードのそれぞれは、前記プロセッサノードのそれぞれによって受信された前記画像データの前記部分を使って前記ウエハ上の欠陥を検出するようにさらに構成される、一組のプロセッサノードと、
前記プロセッサノードのそれぞれに別々に接続される記憶媒体のアレイであって、前記プロセッサノードは、前記ウエハの走査中に前記検査サブシステムによって生成された前記画像データの全てまたは前記画像データの選択された部分が前記記憶媒体の前記アレイに記憶されるように、前記プロセッサノードによって受信された前記画像データの全てまたは画像データの選択された部分を前記記憶媒体の前記アレイに送るようにさらに構成される記憶媒体のアレイとを含む。
【請求項62】
検査システムによってウエハを走査することによって生成される画像データを記憶する方法であって、
ウエハの走査中に検査システムの検出器によって生成される画像データの異なる部分を別々に受信するステップと、
前記ウエハの走査中に前記検出器によって生成された前記画像データの全てまたは前記画像データの選択された部分が前記記憶媒体の異なるアレイに記憶されるように、前記異なる部分または前記異なる部分の選択された部分を記憶媒体の異なるアレイに別々に送るステップとを含む。

【図1】
image rotate

【図1a】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate


【公表番号】特表2011−501875(P2011−501875A)
【公表日】平成23年1月13日(2011.1.13)
【国際特許分類】
【出願番号】特願2010−526041(P2010−526041)
【出願日】平成20年9月22日(2008.9.22)
【国際出願番号】PCT/US2008/077203
【国際公開番号】WO2009/039486
【国際公開日】平成21年3月26日(2009.3.26)
【公序良俗違反の表示】
(特許庁注:以下のものは登録商標)
1.Linux
【出願人】(502442049)ケーエルエー−テンカー・コーポレーション (77)
【氏名又は名称原語表記】KLA−TENCOR CORPORATION
【Fターム(参考)】