説明

エッチングプロセスのフィードフォワード、フィードバックのウェーハtoウェーハ制御方法。

【課題】 エッチングプロセスのためにフィードフォワード、フィードバックウェーハtoウェーハ制御法の提供。
【解決手段】 半導体処理システムのウェーハtoウェーハ(W2W)制御を提供するように、ランtoラン(R2R)コントローラを使用する方法は、提供される。R2Rコントローラは、フィードフォワード(FF)コントローラと、プロセスモデルコントローラと、フィードバック(FB)コントローラと、プロセスコントローラとを含む。R2Rコントローラは、ウェーハtoウェーハ時間フレームにおけるプロセスレシピをアップデートするように、フィードフォワードデータと、モデリングデータと、フィードバックデータと、プロセスデータとを使用する。

【発明の詳細な説明】
【技術分野】
【0001】
この国際出願は、2003年6月30日に出願された米国特許出願番号第10/609,129号の出願日における優先権によるものであり、およびその利益を主張するものであり、その内容は、それらの全体において本願明細書に引用したものとする。
【0002】
本発明は、半導体処理システムのウェーハtoウェーハ制御法に、そして特に、エッチングプロセスに対するウェーハtoウェーハ制御法に関連する。
【背景技術】
【0003】
半導体またはディスプレイ製造などのようなプラズマ処理のさまざまな段階にわたって、プロセスパラメータは、変化することがあり得る。プロセス条件は、好ましくない結果を生ずるプロセスパラメータと共に、オーバータイムを変えることができる。小さい変化は、エッチングガスの組成または圧力、プロセスチャンバ条件、またはウェーハ温度に生じ得る。このように、プラズマ処理設備は、一定のモニタリングを必要とする。
【0004】
何らかの与えられた時間でのこれらプロセスパラメータの測定およびモニタすることは、有用なデータを蓄積し、分析することを可能とする。プロセスコントロールのフィードバックは、プロセスパラメータを調整するかまたは特定のプロセス材料の生存性(viability)を決定するように使用されることがあり得る。しかしながら、多くの場合、プロセス特性の悪化を反映しているプロセスデータの変化は、表示されたプロセスデータを単に参照することによっては検出され得ない。プロセスの初期段階の異常および特性悪化を検出することは、困難であり、多くの場合、高度プロセスコントロール(advanced process control:APC)によるパターン認識と同様に、それは、故障の検出および予測を得るのに必要であり得る。
【発明の開示】
【発明が解決しようとする課題】
【0005】
本発明は、半導体処理システムのウェーハtoウェーハ(wafer−to−wafer:W2W)制御を提供するための方法と装置である。
【課題を解決するための手段】
【0006】
ランtoラン(run−to−run:R2R)コントローラは、フィードフォワード(FF)コントローラと、プロセスモデルコントローラと、フィードバック(FB)コントローラと、プロセスコントローラとを含む。R2Rコントローラは、ウェーハtoウェーハ時間フレームにおけるプロセスレシピをアップデートするように、フィードフォワードデータと、モデリングデータと、フィードバックデータと、プロセスデータとを使用する。
【発明を実施するための最良の形態】
【0007】
本発明は、ウェーハtoウェーハレベルでプロセスパラメータを制御する方法と装置を提供する。精密に集積化された測定デバイスを使用することにより、後継材料(incoming material)の限界寸法(critical dimensions:CD)のリアルタイム測定を提供することは、可能である。例えば、プロセスレシピは、プロセスが完了した後、所望の限界寸法を示している目標CDを含むことができる。R2Rコントローラの目的は、ウェーハtoウェーハ(W2W)制御を使用して所望の結果を達成する、各々のウェーハに対するレシピパラメータのセットを提供することである。
【0008】
それらの結果を達成するために必要な所望のプロセス結果と、プロセスパラメータとの間の関係は、プロセスモデルと呼ばれている。例えば、エッチングツールで、エッチングされるのに必要とされる材料の量と、エッチ速度と、エッチング時間と間の単純な関係は、存在し得る。
【0009】
何らかの処理ツールのパーフォーマンスは、オーバータイムでドリフトすると予想され、プロセスモデルは、ドリフトと関連した(drift−related)コンポーネントを含むことができる。実際のプロセス結果を測定することと、それを所望の結果と比較することとによって、プロセスモデルの小さい偏差を修正することは、可能である。この違いは、それで、モデルの精度をアップデートするように使用されることができる。
【0010】
プロセスコントロールの「現実の(real−world)」アプリケーションにおいて、妨害およびノイズは、正確にプロセスを制御する我々の能力を制限する。ノイズおよび妨害のこれらのソースは、最適の結果を達成するために、理解され、減少されなければならない。例えば、仮にゲートスタックの底部CDの測定値の再現性が40ナノメートルトータルから1ナノメートル外れると、これは、2.5%の誤差率を表す。もし、この測定誤差に対する修正がなされないならば、それで、我々は、プロセス結果が少なくとも2.5%変化するのを予想するだろう。
【0011】
R2R制御策を開発することにおいて、次のアイテムは、考慮されることができる:測定デバイスから観察されたパラメータの選択;レシピの制御パラメータの選択;観察されたパラメータに基づいて制御パラメータの値を決定するように使用されるアルゴリズム;各々の制御パラメータの範囲の定義;各々の制御パラメータのステップ幅の定義;プロセス(どれくらいのトリムが、実行されることができるか)の範囲;制御パラメータの制御のプレシジョン、制御パラメータの精度;観察されたパラメータのノイズを省く手順;および、必要に応じてプロセスモデルをアップデートする手順。
【0012】
図1は、本発明の実施形態に係る処理システムの典型的なブロックダイヤグラムを示す。図示された実施形態において、処理システム100は、工場システム110と、工場システムに組み合わせられたランtoラン(R2R)コントローラ120と、R2Rコントローラに組み合わせられたツールレベルコントローラ130とを備えている。加えて、GUIコンポーネント122と、データベースコンポーネント124とは、R2Rコントローラ120に組み合わせられて示される。代わりの実施形態において、GUIコンポーネント122および/またはデータベースコンポーネント124は、必要ではない。また、GUIコンポーネント132と、データベースコンポーネント134とは、ツールレベルコントローラ130に組み合わせられて示される。別の実施形態では、GUIコンポーネント132および/またはデータベースコンポーネント134は、必要でない。
【0013】
いくつかのセットアップおよび/または構成情報は、工場システム110からのツールレベルコントローラ130および/またはR2Rコントローラ120によって得られることができる。工場レベルビジネスルールは、制御階層(control hierarchy)を決めるために使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立して動作することができ、または工場システム110によって、いくつかの度合いに(to some degree)制御されることができる。また、工場レベルビジネスルールは、いつプロセスが休止および/または停止されるかを、そして、プロセスが休止および/または停止されたときに、何をするかを決定するように使用されることができる。加えて、工場レベルビジネスルールは、いつプロセスを変えるか、そしてどのようにプロセスを変えるかを決定するように使用されることができる。
【0014】
工場システムは、ツールレベルコントローラ130および/またはR2Rコントローラ120と関連するデータベースから報告されるデータを使用して、いくつかのシステムプロセスをモニタすることができる。工場レベルビジネスルールは、どのプロセスがモニタされるか、および、どのデータが使用されるか決定するように使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立してデータを収集することができ、または、データ収集プロセスは、工場システム110によって、いくつかの度合いに制御されることができる。また、工場レベルビジネスルールは、いつプロセスが変更され、休止され、停止されるかのデータをどのように管理するかを決定するように使用されることができる。
【0015】
また、工場システム110は、ツールレベルコントローラ130および/またはR2Rコントローラ120にランタイム構成情報を提供することができる。例えば、設定、目標、制限、ルール、およびアルゴリズムは、ランタイムで「APCレシピ」、「APCシステムルール」、および「APCレシピパラメータ」として工場からツールレベルコントローラ130および/またはR2Rコントローラ120へダウンロードされることができる。
【0016】
いくつかのセットアップおよび/または構成情報は、それらがまず最初にAPCシステムによって構成されるときに、ツールレベルコントローラ130および/またはR2Rコントローラ120によって決定されることができる。システムレベルビジネスルール(APCシステムルール)は、制御階層を決めるように使用されることができる。例えば、ツールレベルコントローラ130および/またはR2Rコントローラ120は、独立して動作することができ、または、ツールレベルコントローラ130は、R2Rコントローラ120によって、いくつかの度合いに制御されることができる。また、APCシステムルールは、いつプロセスが休止および/または停止されるかを、そして、プロセスが休止および/または停止されたときに、何がされるかを決定するように使用されることができる。加えて、APCシステムルールは、いつプロセスを変更するか、および、どのようにプロセスを変更するかを決定するように使用されることができる。さらにまた、ツールレベルコントローラ130は、いくつかのツールレベルオペレーションを制御するように、ツールレベルルールを使用することができる。
【0017】
一般に、ルールは、システムおよび/またはツールオペレーションに、システムの動的な状態(dynamic state)に基づいて変化することを許可する。
【0018】
図1において、1つのR2Rコントローラ120と、1つのツールレベルコントローラ130とは、示され、しかし、これは、本発明に対しては必要ではない。半導体処理システムは、それら、および独立した処理モジュールと関連する多くのR2Rコントローラを有する多くの処理ツールを備えることができる。
【0019】
ツールレベルコントローラ130は、それら、および独立処理モジュールと関連する多くの処理モジュールを有する多くの処理ツールを構成するように使用されることができる。ツールレベルコントローラ130は、処理ツールと、処理モジュールと、センサとを必要とするプロセスからのデータを、収集し、提供し、処理し、保存し、および表示することができる。
【0020】
ツールレベルコントローラ130は、少なくとも1つのツール関連のアプリケーション、少なくとも1つのモジュール関連のアプリケーション、少なくとも1つのセンサ関連のアプリケーション、少なくとも1つのインターフェース関連のアプリケーション、少なくとも1つのデータベース関連のアプリケーション、少なくとも1つのGUI関連のアプリケーション、および/または少なくとも1つの設定アプリケーションを含む多くのアプリケーションを有することができる。
【0021】
例えば、ツールレベルコントローラ130と、R2Rコントローラ120とは、Unityツール、Teliusツール、および/またはTriasツールおよびそれらの関連した処理モジュールを含むことができる、東京エレクトロン株式会社からのAPCシステムをサポートすることができる。代わりとして、ツールレベルコントローラ130は、他の処理ツールおよび他の処理モジュールをサポートすることができる。
【0022】
GUIコンポーネント132は、ユーザに以下のことをすることを可能とする使いやすいインターフェースを提供する:ツール状態および処理モジュール状態を見ること;サマリーのx―yチャートおよび選択されたウェーハに対する生の(トレース)パラメータデータを作成し、編集すること;ツールアラームログを見ること;データをデータベースにまたは出力ファイルに書き込むための条件を特定するデータ収集プランを構成すること;統計的プロセスコントロール(statistical process control:SPC)チャート作成、モデリング、および表計算プログラムへファイルを入力すること;特定のウェーハに対するウエハ処理情報を調べ、データベースに現在保存されているデータをみること;プロセスパラメータのSPCチャートを作成および編集し、電子メール警告を生成するSPCアラームを設定すること;多変量(multivariate)主成分分析(principal component analysis:PCA)および/または部分最小二乗(partial least squares:PLS)モデルを実行すること;および/または、問題を解決し、ツールレベルコントローラ130に問題を報告するために、診断スクリーンを見ること。
【0023】
ツールからの生データおよびトレースデータは、データベース134にファイルとして保存されることができる。データ量は、プロセスが実行され、処理ツールが動作する頻度と同様に、ユーザによって構成されるデータ収集プランに依存する。処理ツールと、処理チャンバと、センサと、オペレーティングシステムとから得られるデータは、テーブルに保存される。
【0024】
図1の示された例示の実施形態において、単一のクライアントワークステーション112は、示されるが、これは、本発明に対して必要ではない。システムは、複数のクライアントワークステーション112をサポートすることができる。1つの実施形態において、クライアントワークステーション112は、ユーザが設定手順である、ツールと、R2Rコントローラと、プロセスと、工場状態を含んだ状態を見ること;現在および履歴のデータを見ること;モデリングおよびチャート作成機能を実行すること;および/またはR2Rコントローラにデータを入力すること;を実行するのを許可する。例えば、ユーザは、R2Rコントローラによって実行された1つ以上のプロセスを制御することを彼に許可する管理者権限を提供されることがあり得る。
【0025】
GUIコンポーネント122は、R2Rコントローラ120と、ユーザとの間のインタラクションの手段を提供する。GUIが開始するときに、ユーザーIDおよびパスワードを確証するログオンスクリーンは、示されることができ、第1のセキュリティレベルを提供する。ユーザは、ログオンする前にセキュリティアプリケーションを使用して登録されることができる。ユーザーIDのデータベースチェックは、認可レベルを示し、そして、それは、利用できるGUI機能を合理化する。ユーザが許可されない選択項目は、異なって示されることができ、および利用できなくなることができる。セキュリティシステムも、ユーザが既存のパスワードを変えるのを許可する。例えば、ログオンパネル/スクリーンは、NetscapeまたはInternet Explorerのようなブラウザツールから開かれることができる。ユーザは、ログオン項目にユーザーIDおよびパスワードを入れることができる。
【0026】
正規ユーザおよび管理者は、R2Rコントローラ設定およびデフォルトパラメータを修正するように、GUIパネル/スクリーンを使用することができる。コンフィギュレーションデータは、属性データベース124に保存されることができ、およびインスタレーションでデフォルトでセットアップされることができる。
【0027】
GUIコンポーネント122は、R2Rコントローラに対する現在の状態を示すための状態コンポーネントを備えることができる。加えて、状態コンポーネントは、1つ以上の異なるタイプのチャートを使用して、システム関連の、およびプロセス関連のデータをユーザに示すためのチャート作成コンポーネントを含むことができる。
【0028】
R2Rコントローラ120は、このプロセスの前に実行されたプロセスと関係している少なくとも1つの他のR2Rコントローラに組み合わせるためのリンク126と、このプロセスの後、実行されるプロセスと関係している少なくとも1つの他のR2Rコントローラへの組み合わせるためのリンク128とを備える。リンク126およびリンク128は、フィードフォワードおよび/またはフィードバック情報に使用されることができる。
【0029】
R2Rコントローラ120は、工場システム110に組み合わせられ、E診断システム(E−Diagnostic System)の部分であり得る。R2Rコントローラ120は、情報を工場システムと交換することができる。加えて、工場システム110は、コマンドを送ることができ、および/またはR2Rコントローラ120に情報をオーバーライドすることができる。例えば、工場システムは、処理モジュールと、ツールと、測定器との多くに対するダウンロード可能なレシピを、各々のレシピに対する変数パラメータを伴って、R2Rコントローラにフィードフォワードすることができる。変数パラメータは、ロットによって調節可能なことを必要とする、最終的なCD目標と、限界と、オフセットと、ツールレベルシステムの変数とを含むことができる。また、工場リソ(litho)CD計測学(metrology)データは、R2Rコントローラ120にフィードフォワードされることができる。
【0030】
さらにまた、工場システムは、R2Rコントローラに、CD走査型電子顕微鏡(scanning electron microscope:SEM)情報のような測定データを提供するように使用されることができる。代わりとして、CD SEM情報は、マニュアルで提供されることができる。調整係数は、集積化された計測学(integrated metrology:IM)と、CD SEM測定値との間の何らかのオフセットのために調整するように用いられる。CD SEMデータのマニュアルおよびオートメーション化した入力は、R2Rコントローラのフィードバック(FB)制御ループの履歴への適当な挿入のための、日付のようなタイムスタンプを含む。
【0031】
配置可能な項目は、一般器材モジュール/半導体製造装置通信標準(general equipment module/semiconductor equipment communications standard:GEM SECS)通信プロトコルを使用して工場システムから送られた変数パラメータのセットとして、構成されることができる。例えば、変数パラメータは、「APCレシピ」の一部として通過されることができる。APCレシピは、複数のサブレシピを含むことがあり得て、各々のサブレシピは、変数パラメータを含むことができる。
【0032】
R2Rコントローラ120は、ツールレベルコントローラ130に組み合わせられる。R2Rコントローラ120と、ツールレベルコントローラ130との間で提供される情報は、フィードバックと、フィードフォワードデータとを含む。例えば、内部リセットされたイベントがツールから生成されるときに、R2Rコントローラ120は、アラームのようなメッセージを工場システムに送信することができる。大きな変更(湿式クリーンまたは部品交換)が起こったあと、これは、工場システムに、危険にさらされている多数のウェーハを最小化するように、必要な変化をさせるのを許可する。
【0033】
図2は、本発明の実施形態に係る処理システムのより詳細なブロックダイヤグラムを示す。図示の実施形態において、処理ツール280は、第1の測定デバイス210と、処理モジュール220と、第2の測定デバイス230とを含むことを示すが、これは、本発明のためには必要ではない。代わりとして、他の構成は、使用されることができる。
【0034】
R2Rコントローラ290は、また、図2に示されるが、これは、本発明のためには必要ではない。代わりとして、追加のR2Rコントローラは、使用されることができる。R2Rコントローラ290は、フィードフォワード(FF)コントローラ240と、プロセスモデルコントローラ250と、FBコントローラ260と、プロセスコントローラ270とを備える。
【0035】
図示の実施形態において、スタートイベント205は、入力を第1の測定デバイス210に提供する。第1の測定デバイス210は、FFコントローラ240と、処理モジュール220とに組み合わせられる。処理モジュール220は、プロセスモデルコントローラ250と、第2の測定デバイス230とに組み合わせられる。第2の測定デバイス230は、FBコントローラ260に組み合わせられる。FFコントローラ240と、FBコントローラ260とは、処理モジュール220に組み合わせられるプロセスコントローラ270に組み合わせられる。
【0036】
例えば、スタートイベント205は、イベントにおいてウェーハであり得て、スタートイベントは、後継のウェーハと関連するデータを備えることができる。このデータは、ロットデータと、バッチデータと、ランデータと、組成データと、ウェーハ履歴データとを含むことができる。代わりとして、スタートイベントは、異なるプロセス関連のイベントであり得る。
【0037】
第1の測定デバイス210は、FFコントローラ240にデータの第1のセットを提供することができ、処理モジュール220にデータの第2のセットを提供することができる。代わりとして、データの2つのセットは、同一データを備えることができる。第1の測定デバイス210は、単一の測定デバイスまたは複数測定デバイスを備えることができる。第1の測定デバイス210は、モジュール関連した測定デバイス、ツール関連の測定デバイス、および/または外部の測定デバイスを含むことができる。例えば、データは、1つ以上の処理モジュールに組み合わせられたセンサと、処理ツールに組み合わせられたセンサとから得られることができる。加えて、データは、SEMツールおよび光学デジタルプロフィーリング(Optical Digital Profiling:ODP)ツールのような外部デバイスから得られることができる。ODPツールは、半導体デバイスの形態のプロファイルを測定する特許を受けた技術を提供するTimbre Technologies社(TELの会社)から入手可能である。
【0038】
FFコントローラ240は、所望の結果を達成するために、プロセスパラメーターセットを選択するか、または計算するように、後継の材料の測定された限界寸法と、目標限界寸法との間の違いを使用することができる。例えば、予測されたウェーハ状態は、判断されることができる。
【0039】
FFコントローラ240は、プロセスパラメーターの第1のセットを予測するように、第1の測定デバイス210から、データの第1のセットを使用する。この予測されたプロセスパラメーターのセットは、予想された結果と、データの第1のセットに基づいて使用するレシピの第1の評価であり得る。第1の測定デバイス210は、第1の状態を有するウェーハを記載しているデータの第1のセットを提供することができる。
【0040】
一方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態へ変化させるように、ウェーハに実行されることができる少なくとも1つのレシピを決定する。
【0041】
他方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態でない1つ以上の異なる状態へ変化させるように、ウェーハに実行されることができる少なくとも1つのレシピを決定する。
【0042】
他方のケースにおいて、FFコントローラ240は、ウェーハに対する第1および第2の状態を知っており、FFコントローラ240は、ウェーハを第1の状態から第2の状態へ変化させるように、ウェーハに実行されることができるレシピのセットを決定する。例えば、プロセスがマルチステッププロセスであるときに、これは、起こることができる。
【0043】
FFコントローラ240は、テーブルに基づくおよび/またはフォーミュラに基づく技術と、入力レンジ、出力レンジ、ウェーハタイプ、プロセスタイプ、モジュールタイプ、ツールタイプ、ウェーハ状態および/またはプロセス状態の少なくとも1つに基づくことがあり得る技術の間をいつ切り替えるかを決定するルールとを使用することができる。例えば、レシピは、テーブルにあり得て、FFコントローラ240は、どのレシピが最良の解を提供するのかを決定するようにテーブルルックアップをする。代わりとして、レシピは、フォーミュラのセットにおいてあり得て、FFコントローラ240は、どのレシピフォーミュラが、最良の解を提供するのかについて決定する。
【0044】
フィードフォワードコントローラ240がテーブルに基づく技術を使用するときに、フィードフォワード制御変数は設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルと、入力レンジまたは出力レンジに基づくことがあり得るテーブルの間をいつ切り替えをするかを決定するルールとは、あり得る。
【0045】
フィードフォワードコントローラ240がフォーミュラに基づく制御を使用するときに、フィードフォワード制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。
【0046】
FFコントローラ240の時定数は、測定間隔に基づく。ロットが完了されたあと測定したデータが利用できるときに、FFコントローラの時定数は、ロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、FFコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。測定データがプロセスの間の提供されたリアルタイムであるときに、ウェーハ内で、FFコントローラの時定数は、処理ステップに基づくことがあり得る。ウェーハが処理される間か、またはウェーハが完了された後か、またはロットが完了された後に、測定されたデータが利用できるときに、FFコントローラ240は、プロセスステップ間の、ウェーハ間の、および/またはロット間の時間に基づくことがありえる多重時定数を有することができる。
【0047】
1つ以上のFFコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のFFコントローラがモニタモードにあり得る間、1つのFFコントローラは、運転モードにあり得る。加えて、他のFFコントローラは、シミュレーションモードで動作していることができる。FFコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、制御ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
【0048】
FFコントローラ240は、単一入力単一出力(single input single output:SISO)デバイスとして、単一入力多重出力(single input multiple output:SIMO)デバイスとして、多重入力単一出力(multiple input single output:MISO)デバイスとして、および多重入力多重出力(multiple input multiple output:MIMO)デバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラの範囲内、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は2台のモジュール(すなわちCD制御のために1つ、および側壁角度制御のために1つ)の間でフィードフォワードとバックワード(前後)されることができる。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへのフィードフォワードであり得る。
【0049】
処理モジュール220は、ウェーハを第1の状態から第2の状態へ変える手段を提供する。例えば、処理モジュール220は、エッチングモジュール、堆積モジュール、研磨モジュール、コーティングモジュール、現像モジュール、トリミングモジュール、および/または熱処理モジュールのうちの少なくとも1つを備えることができる。加えて、処理モジュール220は、ウェーハを第1の状態から第2の状態まで変えるように使用されたプロセス特性を備えるデータを提供することができる。
【0050】
処理ツールおよび/または処理モジュールがデータを含むときに、このデータは、R2Rコントローラに送られることができる。例えば、このデータは、ツールトレースデータ、メンテナンスデータおよび/または終点検出(end point detection:EPD)データを含むことができる。トレースデータは、プロセスについて重要情報を提供することができる。トレースデータは、ウェーハのプロセス中またはプロセスが完了された後、アップデートされることができ、保存されることができる。
【0051】
プロセスモデルコントローラ250は、プロセス特性およびプロセスモデルに基づいたウェーハの第2の状態を予測する。例えば、エッチング速度モデルは、エッチング深さを計算するように処理時間と一緒に使用されることができ、体積速度モデルは、堆積厚さを計算するように処理時間と一緒に使用されることができる。例えば、モデルは、SPCチャートと、PLモデルと、PCAモデルと、故障検出/修正(fault detection/correction:FDO)モデルと、多変量解析(multivariate analysis:MVA)モデルとを含むことができる。加えて、モデル化されたウェーハ状態は、決定されることができる。
【0052】
R2Rコントローラは、外部的に提供されたデータを処理モジュールのプロセスパラメータ制限のために受けることができ、利用することができる。例えば、R2RコントローラGUIコンポーネントは、プロセスパラメータ制限のマニュアル入力の手段を提供する。加えて、工場レベルコントローラは、各々の処理モジュールに対するプロセスパラメータの制限を提供することができる。
【0053】
R2Rコントローラは、市販のモデリングソフトウェアによって作成されるモデルを受けることができ、実行することができる。例えば、R2Rコントローラは、外部アプリケーションによって作成され、コントローラに送られたモデル(PLA、PCAなど)を受けることができ、実行することができる。
【0054】
第2の測定デバイス230は、FBコントローラ260にデータの第1のセットを提供することができ、ウェーハアウトイベント295にデータの第2のセットを提供することができる。代わりとして、データの2つのセットは、同一データを備えることができる。第2の測定デバイス230は、単一の測定デバイスまたは多重測定デバイスを備えることができる。第2の測定デバイス230は、処理モジュールに関連した測定デバイス、ツール関連の測定デバイスおよび/または外部の測定デバイスを含むことができる。例えば、データは、1つ以上の処理モジュールに組み合わせられるセンサ、および処理ツールに組み合わせられるセンサから得られることができる。加えて、データは、SEMツール、光学発光分光計(optical emissions spectrometer:OES)ツール、および光学デジタルプロフィーリング(Optical Digital Profiling:ODP)ツールのような外部デバイスから得られることができる。ODPツールは、半導体デバイスの形態のプロファイルを測定する特許を受けた技術を提供するTimbre Technologies社(TELの会社)から市販されている。
【0055】
FBコントローラ260は、プロセス偏差の第1のセットを計算するように、第2の測定デバイス230からのデータの第1のセットを使用する。プロセス偏差のこの計算されたセットは、第2の測定デバイス230からの、予想されたウェーハ状態、およびデータの第1のセットに基づいて決定されることができる。例えば、測定されたウェーハ状態は、決定されることができる。
【0056】
第2の測定デバイス230は、第2の状態を有するウェーハを記載しているデータの第1のセットを提供することができる。一方のケースにおいて、FBコントローラ260は、所望の状態およびウェーハに対する第2の状態を知っており、FBコントローラ260は、所望の状態と、第2の状態との間の違いを決定する。このように、測定された実際のプロセス結果は、プロセスレシピに修正を決定するために、所望のプロセス結果と比較される。
【0057】
他方のケースにおいて、FBコントローラ260は、所望の状態および第2のウェーハに対する状態を知っており、FBコントローラ260は、ウェーハを第2の状態から所望の状態へ変えるようにウェーハに実行されることができる修正のセットを決定する。例えば、プロセスがマルチステッププロセスであるときに、これは、起こることができる。
【0058】
FBコントローラ260は、テーブルに基づくおよび/またはフォーミュラに基づく技術を使用することができる。例えば、レシピは、テーブルにあり得て、FBコントローラ260は、どの修正が最良の解を提供するかについて決定するようにテーブルルックアップをする。代わりとして、修正は、フォーミュラのセットを使用して決定されることができ、FBコントローラ260は、どの修正フォーミュラが最良の解を提供するかについて決定する。
【0059】
FBコントローラ260がテーブルに基づく技術を使用するときに、フィードバック制御変数は、設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルがある得て、ルールに基づく切替は、入力レンジまたは出力レンジに基づいて達成されることができる。
【0060】
FBコントローラ260がフォーミュラ基礎を形成された制御を使用するときに、フィードバック制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、ルールに基づく切替は、入力レンジまたは出力レンジに基づいて達成されることができる。
【0061】
場合によっては、FBコントローラ260は、目標CDを調整するようにルックアップテーブルまたはフォーミュラ方法を使用する必要はない。例えば、目標CDおよびフィルタ処理された計測学CDを提供された工場間の単純なデルタ(delta)は、修正として、適用されることができる。
【0062】
FBコントローラ260のための時定数は、測定間の時間に基づく。ロットが完了されたあと測定したデータが利用できるときに、FBコントローラの時定数は、ロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、FBコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。ウェーハが完了されたあと、およびロットが完了されたあと測定したデータが利用できるときに、FBコントローラ260は、ウェーハ間の、および、ロット間の時間に基づくことがあり得る多重時定数を有することができる。
【0063】
1つ以上のFBコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のFBコントローラがモニタモードにあり得る間、1つのFBコントローラは、運転モードにあり得る。加えて、他のFBコントローラは、シミュレーションモードで動作していることができる。FBコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
【0064】
モデルアップデートは、モニターウェーハを動かすことと、プロセス設定を変化することと、結果を観察することと、モデルをアップデートすることとによって行われることができるフィードバックの他のフォームである。例えば、モデルアップデートは、処理80時間ごとに、モニターウェーハのフィルム特性の前後を測定することによって、行われることができる。異なる動作領域をチェックするようにオーバータイムの設定を変えることによって、完全な作動領域オーバータイムを確証してもよく、または、異なるレシピ設定ですぐに、いくつかのモニターウェーハを動かしてもよい。モデルアップデートは、ツールまたは工場でR2Rコントローラ内で、行われることができ、工場制御がモニターウェーハ、およびモデルアップデートを管理するのを許可する。
【0065】
FBコントローラ260は、SISOデバイスとして、SIMOデバイスとして、MISOデバイスとして、およびMIMOデバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラ内に、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は、2台のモジュール(すなわち、CD制御に対して1つ、および側壁角度制御に対して1つ)の間で、フィードフォワードおよびバックフォワードであり得る。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへのフィードフォワード(fed―forward)であり得る。
【0066】
プロセスコントローラ270は、次のウェーハのアップデートされたレシピを計算する。一方のケースにおいて、プロセスコントローラ270は、現在のウェーハを動かす前に、現在のレシピを変えるか変えないかを決定するように、FFコントローラ240からのフィードフォワード情報と、モデルコントローラ250からのモデリング情報と、FBコントローラ260からのフィードバック情報とを使用する。他方のケースにおいて、プロセスコントローラ270は、次のウェーハを動かす前に、現在のレシピを変えるか変えないかを決定するように、FFコントローラ240からのフィードフォワード情報と、モデルコントローラ250からのモデリング情報と、FBコントローラ260からのフィードバック情報とを使用する。
【0067】
プロセスコントローラ270は、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができ、そしてどの技術を使用するべきかについて決定するように、ルールに基づく方法を使用することができる。例えば、レシピはテーブルにあり得て、プロセスコントローラ270は、どのレシピが最良の解を提供するかについて決定するようにテーブルルックアップをする。代わりとして、レシピは、フォーミュラのセットを使用して決定されることができ、プロセスコントローラ270は、どのレシピフォーミュラが最良の解を提供するかについて決定する。
【0068】
プロセスコントローラ270がテーブルに基づく技術を使用するときに、制御変数は、設定可能である。例えば、変数は、テーブルの定数または係数であり得る。加えて、多重テーブルであることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。
【0069】
プロセスコントローラ270がフォーミュラに基づく制御を使用するときに、制御変数は、設定可能である。例えば、変数は、フォーミュラの定数または係数であり得る。加えて、多重フォーミュラの組合せがあることができ、切替のためのルールは、入力レンジまたは出力レンジに基づいて達成されることができる。プロセスコントローラ270の時定数は、測定間の時間に基づく。ロットが完了されたあと測定したデータが利用できるときに、プロセスコントローラの時定数はロット間の時間に基づくことがあり得る。ウェーハが完了されたあと測定したデータが利用できるときに、プロセスコントローラの時定数は、ウェーハ間の時間に基づくことがあり得る。ウェーハが完了されたあと、およびロットが完了されたあと測定したデータが利用できるときに、プロセスコントローラ270は、ウェーハ間の、およびロット間の時間に基づくことがあり得る多重時定数を有することができる。
【0070】
1つ以上のプロセスコントローラは、適時にいかなる点でも動作していることができる。例えば、第2のプロセスコントローラがモニタモードにあり得る間、1つのプロセスコントローラは、運転モードにあり得る。加えて、他のプロセスコントローラは、シミュレーションモードで動作していることができる。プロセスコントローラは、単一の制御ループまたは多重制御ループを提供することができ、ループは、異なる時定数を有することができる。例えば、ループは、ウェーハタイミング、ロットタイミング、バッチタイミング、チャンバタイミング、ツールタイミングおよび/または工場タイミングに依存していることがあり得る。
【0071】
プロセスコントローラは、SISOデバイスとして、SIMOデバイスとして、MISOデバイスとして、および、MIMOデバイスとして動作することができる。加えて、入力および出力が、R2Rコントローラ内で、および/または1つ以上のR2Rコントローラの間にあることができる。例えば、CDおよび側壁角度のような多重入力が使用されるときに、入力および出力は、2台のモジュール(すなわち、CD制御に対して1つ、および側壁角度制御に対して1つ)の間でフィードフォワードおよびバックフォワードされることができる。加えて、マスクオープンコントローラは、また、使用されることができる。マルチプロセスケースにおいて、情報は、第1のR2Rコントローラから第2のR2Rコントローラへフィードフォワードされることができる。
【0072】
R2Rコントローラ290は、ランダムノイズを取り除くために計測学データにフィルターをかけるように、1つ以上のフィルタ(図示せず)を備える。例えば、フィルタは、コントローラの入力にまたは出力に適用されることができる。1つのケースにおいて、フィルタは、制御の方法の心配のない(すなわち、ルックアップテーブルまたは方程式を使用することから独立している)フィルタリングを許可するように、後継の変数に適用されることができる。これは、また、制御のレンジ上の出力変数を変えるようにコントローラを使用可能にし、例えば小さいステップの流量を変え、それで、圧力および流量変化のステッピングの段階の変化がなされる。
【0073】
外れ値フィルタ(outlier filter)は、静的に有効でなく、ウェーハ測定の平均において考慮されるべきでない外れ値を取り除くように使用されることができる。外れ値フィルタは、平均から高いおよび低い外れ値を省くために使用されることができる。例えば、ボックスおよびウィスカ(whisker)方法は、サイト計測学データに適用されることができる。この方法は、効果的で、絶対限界値なしで維持しやすくて、フィルタ制限の1つのセットが後継のCD平均データ(目標は、フィルタ制限をもたらさずに変化することができる)の変化しているセットに適用されるのを許可して、および、視覚化しやすい。外れ値フィルタで、追加のルールは、維持されることができる(静的なウェーハを表すウェーハ内のポイントの最小数、およびロットを表すウェーハの最小数。)
ノイズフィルタは、ランダムノイズを取り除き、制御ループを安定させるように使用されることができ、指数的加重移動平均(Exponentially Weighed Moving Average:EWMA)またはカルマンフィルタ(Kalman filter)は、適用されることができる。フィルタが使用されるときに、フィルタ時定数はセットされなければならない(EWMAの場合には、ラムダは、時定数である)。たとえば、EWMA算出は、故障が加えられたデータポイントを捕獲するために、プロセス日付時間によって、各時完全な履歴を使用してなされることができる。
【0074】
R2Rコントローラは、フィードフォワードデータを受けることができ、利用することができる。たとえば、R2Rコントローラは、処理される後継の材料に関する情報と、所望のプロセス結果(目標CD)とを受けることができ、R2Rコントローラは、所望のプロセス結果を達成するようにレシピパラメータのセットを提供することができる。R2Rコントローラは、フィードバックデータを受けることができ、利用することができる。例えば、R2Rコントローラは、すでに処理された材料に関する情報を受けることができて、および、このデータに基づいてプロセスモデルを調整することができる。R2Rコントローラは、遅れるフィードバックデータを受けることができ、利用することができる。例えば、ツールによって処理された順番でデータが受けられない場合であっても、R2Rコントローラは、すでに処理された材料に関する情報を受けることができ、このデータに基づいてプロセスモデルを調整することができる。R2Rコントローラは、コントローラを構成し、制御するために、マニュアルで入力されたデータを受けることができ、利用することができる。例えば、R2RコントローラGUIコンポーネントは、コントローラ構成情報のマニュアル入力の手段を提供する。
【0075】
R2Rコントローラは、例外条件の通知を送受信することができる。例えば、R2Rコントローラは、工場レベルコントローラまたはツールレベルコントローラへ/から通知を送受信することができる。加えて、通知は、例外条件の識別の後、e診断ネットワーク、電子メールまたはページャを介して送られることができる。
【0076】
R2Rコントローラは、シミュレーションモードで動作することができる。例えば、R2Rコントローラは、実際のプロセスモードと並列にシミュレーションモードで動作することができる。この場合、シミュレーションされたアクションは、R2Rコントローラログ、および履歴データベースに記録されることができ、即時のアクションはとられない。
【0077】
プロセスモデルは、ガス流量の入力パラメータを提供するだけでなくて、ガス流量比率の入力パラメータをも提供する。例えば、R2Rコントローラは、ガス流比率を算出することができ、決めることができて、および複合ガスのトータルフローを調整することができる。
【0078】
R2Rコントローラは、後継の材料コンテキストに基づいてプロセスモデルを選ぶことができる。例えば、R2Rコントローラは、後継の材料状態およびプロセスレシピに基づいてプロセスモデルを選ぶことができる。R2Rコントローラは、システムが有効なR2R設定を算出することができることを確かめる手段を備えることができる。例えば、R2Rコントローラは、ロットスタート前のレシピパラメータ設定を確かめる手段を備えることができる。R2Rコントローラは、レシピセットポイントのデフォルト設定を使用する手段を備えることができる。例えば、R2Rコントローラが特定のウェーハに対してレシピパラメータを提供することができないときに、「公称(nominal)」レシピのレシピパラメータは使用されることができる。
【0079】
R2Rコントローラは、入出力データをアーカイブするデータベースコンポーネントを備えることができる。例えば、R2Rコントローラは、受けられた入力、送られた出力、および、検索可能なデータベースのコントローラによってとられたアクションをアーカイブすることができる。加えて、R2Rコントローラは、データバックアップおよび回復の手段を備えることができる。また、検索可能なデータベースは、モデル情報、構成情報、および履歴情報を含むことができ、R2Rコントローラは、履歴および現在の両方の、モデル情報およびモデル構成情報をバックアップに復元するように、データベースコンポーネントを使用することができる。
【0080】
R2Rコントローラは、ウェブに基づくユーザーインターフェースを備えることができる。例えば、R2Rコントローラは、データベースのデータを表示するウェブ使用可能なGUIコンポーネントを有することができる。
【0081】
R2Rコントローラは、セキュリティー管理者によって付与される許可に従い多重アクセスのレベルを提供することができるセキュリティコンポーネントを有することができる。
【0082】
R2Rコントローラは、インスタレーション時に提供されるデフォルトモデルのセットを備え、デフォルト条件にリセットする能力を有する。
【0083】
R2Rコントローラは、例外の質(nature)に従うさまざまなアクションをとることができる。例えば、例外条件は、失われた測定データ、失われた目標CD、計測学エラー、制限を上回ったレシピパラメータ、制限を上回った処理モジュールパラメータ、および故障を受けたフィードバックイベントを含むことができる。
【0084】
R2Rコントローラ入力は、命令(Instructions)、基板状態、モジュールの物理的状態、プロセス状態、およびコントローラパラメータを含むことができる。加えて、R2Rコントローラ入力は、フィードフォワード/フィードバックループ、蓄積に対するリセットイベント、IMステップ、およびODPオフセットのための時定数を含むことができる。命令は、目標、許容範囲、計算コマンド、データ収集プラン、アルゴリズム、モデル、係数、およびレシピを含むことができる。基板状態は、処理される基板(サイト、ウェーハ、ロット、バッチ状態)、プロファイル、および物理的にまたは電気的に測定された特性からの情報を含むことができる。モジュールの物理的状態は、基板−RF時間と、ウェーハ数と、消耗品状態とを処理するのに使用された、モジュールおよびコンポーネントの現在または最後の周知の記録された状態を含むことができる。プロセス状態は、トレースデータ、およびサマリー統計量を含むプロセス環境のセンサからの現在または最後の周知の測定された状態を含むことができる。コントローラパラメータは、基板状態、モジュールの物理的状態、およびプロセス状態を作成したレシピ/コントローラセットポイント、およびプロセス目標に対する最後の設定を含むことができる。
【0085】
R2Rコントローラ出力は、導き出されたパラメータ、設定、イベントまたはメッセージ、介入(Intervention)、導き出されたコンテキスト、ログメッセージ、および履歴のアイテムを含むことができる。例えば、データは、解析のためオフラインシステムに送信される。導き出されたパラメータは、コントローラ、プロセス、材料、または装置の状態を表すことができるコントローラによって生成される情報を含むことができる。設定は、R2Rコントローラによって算出され、一般的にランタイムでツールにダウンロードされる処理ツールパラメータを含むことができる。例えば、これらのパラメータは、ステップ毎の時間、圧力、温度(temp)、ガス流、および/またはパワーを含むことができる。イベントまたはメッセージは、制御されているシステムで起こった例外を示す情報を含むことができる。介入は、解析結果に基づいてR2Rコントローラによって推奨される(またはとられる)アクションに関する情報を含むことができる。導き出されたコンテキストは、R2Rコントローラによって導き出されるコンテキスト情報を含むことができる。ログメッセージは、R2Rコントローラの動作を記載しているテキストメッセージであり得る。履歴アイテムは、解析の意思決定支援システム(decision support systems:DSS)タイプに対してオフラインシステムに送信されるデータを含むことができる。
【0086】
R2Rコントローラは、多重コントローラアプリケーションをサポートする少なくとも1つのコンピュータおよびソフトウェアを備えることができる。R2Rコントローラは、データを保存する少なくとも1つの記憶機器を備えることができる。例えば、少なくとも1つのコンピュータは、東京エレクトロンからの、lngenio(登録商標)ソフトウェアのようなオペレーショナルソフトウェアを備えることができる。1つのケースにおいて、オペレーショナルソフトウェアは、構成手段と、データ管理手段と、GUI手段と、故障管理手段と、トラブルシューティング手段とのうちの少なくとも1つのを備えている。また、構成GUIスクリーンは、処理部材(すなわちツール、モジュール、センサなど)に対して、デバイスタイプを決定するように、コンピュータと、処理部材との間のインターフェースを構成するように使用されることができ、およびデータ管理GUIスクリーンは、収集するデータの量およびタイプを決定するように、およびどのように、そしてどこに収集されたデータを保存するかを決定するように使用されることができる。さらにまた、故障管理GUIスクリーンは、ユーザに故障条件を知らせるように使用されることができる。
【0087】
図3は、本発明の実施形態に係るR2Rコントローラを動作する方法の流れ図を示す。手順300は、310でスタートする。例えば、R2Rコントローラは、少なくとも1つの処理モジュールを備えることができる少なくとも1つの処理ツールと、少なくとも1つの測定器とに組み合わせられることができる。例えば、R2Rコントローラは、東京エレクトロンによって提供されることができ、処理ツールは、クラスターツールを、例えば東京エレクトロンからのものを含むことができる。また、R2Rコントローラは、オペレーショナルソフトウェアを含んでいるコンピュータと、R2Rコントローラに組み合わせられるデータベースと、R2Rコントローラに組み合わせられるGUIとを有することができる。オペレーショナルソフトウェアは、制御ジョブにAPCレシピを対応することができ、サブレシピは、APCレシピ内で提供される。APCレシピおよびサブレシピは、標準のSEMIフォーマット化されたレシピに従う。フォーマット化されたレシピは、工場システムのレシピエディタと互換性を持つ。
【0088】
315において、R2Rコントローラは、後継の材料(プロセスの前に)を記載する測定されたデータの第1のセットを受けることができる。例えば、測定されたデータの第1のセットが、ODPツールのような第1の測定器からであることができる。加えて、データの第1のセットは、SEMデータおよび光データを含むことができる。また、データの第1のセットは、物理データと、電気的なデータと、プロセスデータとを含むウェーハデータを備えることができる。
【0089】
320において、FFコントローラは、R2Rコントローラにおいて、レシピ選択と、プロセスコントローラに対して選択をフィードフォワードとをなさせる。FFコントローラは、最良のレシピを決定するテーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。FFコントローラは、処理される後継の材料に関する情報と、所望のプロセス結果とを受け、FFコントローラは、所望のプロセス結果を達成させることを決定された少なくとも1つのレシピ選択を提供する。FFコントローラは、受けられた情報に制限を適用することができ、所望のプロセス結果の周りにwindows(登録商標)を提供する。FFコントローラは、現在のレシピおよび公称レシピを選択プロセスに含むことができる。
【0090】
325において、R2Rコントローラは、プロセスデータを受けることができる。例えば、プロセスデータは、処理ツール、処理モジュール(チャンバ)、および/またはプロセスセンサからのものであることができる。
【0091】
330において、モデルコントローラは、R2Rコントローラにおいて、後継のウェーハ状態に基づいた結果のセットと、1つのプロセスモデルとを決定する。例えば、プロセスモデルは、処理モジュール(チャンバ)の現在状態に基づくことがあり得る。
【0092】
335において、R2Rコントローラは、出て行く材料(プロセスの後で)を記載する測定されたデータの第2のセットを受けることができる。例えば、測定されたデータの第2のセットは、ODPツールのような第2の測定器からのものであることができる。加えて、データの第2のセットは、SEMデータおよび光データを含むことができる。また、データの第2のセットは、物理データ、電気的なデータ、およびプロセスデータを含むウェーハデータを備えることができる。
【0093】
340において、FBコントローラは、R2Rコントローラにおいて、測定したデータの第2のセットに基づいた修正のセットを決定する。FBコントローラは、修正を決定するため、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。FBコントローラは、出て行く材料(プロセスの後で)の情報と、所望のプロセス結果とを受け、FBコントローラは、達成された結果(プロセスの後で)と、所望のプロセス結果との間の違いを表すことを決定した少なくとも1つの修正を提供する。FBコントローラは、受けられた情報に制限を適用することができ、修正の周りにウインドウを提供することができる。FBコントローラは、修正を決定するように、現在のデータ、遅延データ、および/または履歴データを含むことができる。
【0094】
345において、プロセスコントローラは、R2Rコントローラにおいて、アップデートされたプロセスレシピを算出する。プロセスコントローラは、アップデートされたレシピを算出するように、FFコントローラ、モデルコントローラ、およびFBコントローラからの結果を使用する。プロセスコントローラは、アップデートされたレシピを決定するため、テーブルに基づく、および/またはフォーミュラに基づく技術を使用することができる。プロセスコントローラは、後継の材料(プロセスの前に)についての情報を受け、出て行く材料(プロセスの後で)についての情報を受け、モデリング情報を受け、プロセスデータおよび所望のプロセス結果を受ける。プロセスコントローラは、達成された結果(プロセスの後)と、所望のプロセスが結果との間の違いに対する修正をを決定した少なくとも1つのアップデートレシピを提供する。プロセスコントローラは、受けられた情報に制限を適用することができ、アップデートレシピパラメータの周りにwindows(登録商標)を提供することができる。プロセスコントローラは、アップデートされたレシピを決定するように、現行データ、遅延データ、および/または履歴データを含むことができる。350で、プロセスは、終了する。
【0095】
本発明の多数の修正および変更は、上記の教示を考慮し可能である。従って、添付の請求の範囲内で、本発明は、ここに特に記載されているより別な方法で実施されることがあり得ると理解されるものである。
【図面の簡単な説明】
【0096】
【図1】本発明の実施形態に係る処理システムの典型的なブロックダイヤグラムを示す図である。
【図2】本発明の実施形態に係る処理システムの、より詳細なブロックダイヤグラムを示す図である。
【図3】本発明の実施形態に係るR2Rコントローラを操作する方法の流れ図である。

【特許請求の範囲】
【請求項1】
ウェーハの第1の状態を決定することと、
ウェーハの第2の状態を決定することと、
前記第1の状態から前記第2の状態へウェーハの状態を変えるようにプロセスレシピを決定することと、
ウェーハの状態が前記第1の状態から被処理状態へ変わるプロセスレシピをウェーハに実行することと、
前記被処理状態が前記第2の状態でないときを決定することと、
前記プロセスレシピをアップデートすることとを具備する半導体処理システムを操作する方法。
【請求項2】
前記第1の状態を決定することは、光学的性質と、電気的性質と、物理的性質とのうちの少なくとも1つを測定することを備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項3】
前記第1の状態を決定することは、光学的データと、電気的データと、物理的データとのうちの少なくとも1つを受けることを備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項4】
前記第2の状態を決定することは、光学的性質と、電気的性質と、物理的性質とのうちの少なくとも1つを測定することを備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項5】
ウェーハの前記第2の状態を決定することは、光学的データと、電気的データと、物理的データとのうちの少なくとも1つを受けること備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項6】
前記プロセスレシピを決定することは、ウェーハの前記第1および前記第2の状態に基づく少なくとも1つのプロセスレシピをフィードフォワードすることを備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項7】
前記プロセスレシピを決定することは、ウェーハの前記第1の状態と、処理条件に基づくプロセスモデルとを使用して、前記第2の状態を予測することを備えている請求項1に記載の半導体処理システムを操作する方法。
【請求項8】
前記被処理状態と、前記第2の状態との間の違いを決定することと、
この違いをフィードバックすることとを、さらに具備する請求項1に記載の半導体処理システムを操作する方法。
【請求項9】
半導体処理システムを操作する方法であって、
ウェーハの第1の状態を決定することと、
ウェーハの第2の状態を決定することと、
ウェーハの予測状態を決定することと、を具備し、
予測プロセスレシピが前記第1の状態から前記予測状態へウェーハの状態を変えるように使用され、
ウェーハのモデル化された状態を決定することと、をさらに具備し、
処理モジュールが前記第1の状態から前記モデル化された状態へウェーハの状態を変えるように使用され、
ウェーハの測定状態を決定することと、
ウェーハの状態を前記第1の状態と、前記予測状態と、モデル化される状態と、測定状態とを使用して前記第2の状態に変えるレシピを決定することと、をまたさらに具備する方法。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate


【公表番号】特表2007−521652(P2007−521652A)
【公表日】平成19年8月2日(2007.8.2)
【国際特許分類】
【出願番号】特願2006−517141(P2006−517141)
【出願日】平成16年5月24日(2004.5.24)
【国際出願番号】PCT/US2004/016404
【国際公開番号】WO2005/006411
【国際公開日】平成17年1月20日(2005.1.20)
【出願人】(000219967)東京エレクトロン株式会社 (5,184)
【Fターム(参考)】