説明

EUV光源構成要素及びその製造、使用及び修復方法

光学的劣化の程度を判断するためのEUVミラーの原位置モニタリングの方法を開示する。本方法は、EUVスペクトル外の波長を有する光でミラーの少なくとも一部を照射する段階/行為と、光がミラーから反射した後に光の少なくとも一部を測定する段階/行為と、測定値及びミラー劣化と光反射率の所定の関係を用いて多層ミラー劣化の程度を推定する段階/行為とを含むことができる。同じく開示するのは、金属質基板を準備する段階と、基板の表面をダイヤモンド切削する段階/行為と、物理蒸着を用いて表面の上に重なる少なくとも1つの中間材料を堆積させる段階/行為と、中間材料の上に重なる多層ミラーコーティングを堆積させる段階/行為とを含むことができる近垂直入射EUVミラーを調製する方法である。

【発明の詳細な説明】
【技術分野】
【0001】
関連出願への相互参照
本出願は、2007年12月20日出願の米国特許出願出願番号第12/004、871号に対する優先権を請求するものであり、代理人整理番号第2007−0030−01号である2007年7月13日出願の「変調妨害波を使用して生成した液滴流を有するレーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/827、803号と、代理人整理番号第2005−0085−01号である2006年2月21日出願の「プレパルスによるレーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/358、988号と、代理人整理番号第2004−0008−01号である2005年2月25日出願の「EUVプラズマ源ターゲット送出の方法及び器具」いう名称の現在特許出願中の米国特許出願出願番号第11/067、124号と、代理人整理番号第2005−0003−01号である2005年6月29日出願の「LPP−EUVプラズマ源材料ターゲット送出システム」という名称の現在特許出願中の米国特許出願出願番号第11/174、443号と、代理人整理番号第2005−0102−01号である「EUV光源のための材料分注器」という名称の現在特許出願中の米国特許出願と、代理人整理番号第2005−0081−01号である2006年2月21日出願の「レーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/358、992号と、代理人整理番号第2005−0044−01号である2005年6月29日出願の「LPP−EUV光源駆動レーザシステム」という名称の現在特許出願中の米国特許出願出願番号第11/174、299号と、代理人整理番号第2006−0003−01号である2006年4月17日出願の「EUV光源のための代替燃料」という名称の現在特許出願中の米国特許出願出願番号第11/406、216号と、代理人整理番号第2006−0025−01号である2006年10月13日出願の「EUV光源のための駆動レーザ送出システム」という名称の現在特許出願中の米国特許出願出願番号第11/580、414号と、代理人整理番号第2006−006−01号である2006年12月22日出願の「レーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/644、153号と、代理人整理番号第2006−0027−01号である2006年8月16日出願の「EUV光学系」という名称の米国特許出願出願番号第11/505、177号と、代理人整理番号第2006−0001−01号である2006年6月14日出願の「EUV光源のための駆動レーザ」という名称の現在特許出願中の米国特許出願出願番号第11/452、558号と、2005年8月9日にWebb他に付与された「長期遅延及び高TISパルス伸長器」という名称の現在特許出願中の米国特許第6、928、093号と、代理人整理番号第2004−0144−01号である2006年3月31日出願の「共焦点パルス伸長器」という名称の米国特許出願第11/394、512号と、2005年5月26日出願の「線ビームとして成形されたレーザと基板上に堆積された膜の間に相互作用を実施するシステム及び方法」という名称の米国特許出願第11/138、001号(代理人整理番号第2004−0128−01号)と、現在は米国特許第6、693、939号である2002年5月7日出願の「ビーム送出を備えたレーザリソグラフィ光源」という名称の米国特許出願第10/141、216号と、2003年9月23日にKnowles他に付与された「超狭帯域2チャンバ高繰返し数ガス放電レーザシステム」という名称の米国特許第6、625、191号と、代理人整理番号第2001−0090−01号である米国特許出願第10/012002号と、2003年4月15日にNess他に付与された「正確なタイミング制御を備えた注入シードレーザ」という名称の米国特許第6、549、551号と、代理人整理番号第2001−0020−01号である米国特許出願第09/848、043号と、2003年5月20日にMyers他に付与された「超狭帯域2チャンバ高繰返し数ガス放電レーザシステム」という名称の米国特許第6、567、450号と、代理人整理番号第2001−0084−01号である米国特許出願第09/943、343号と、代理人整理番号第2005−0086−01号である2006年8月25日出願の「レーザ生成プラズマEUV光源のための原材料収集ユニット」という名称の現在特許出願中の米国特許出願出願番号第11/509、925号とに関連するものであり、これらの特許の各々の内容全体は、これによって本明細書において引用により組み込まれている。
本出願は、原材料から生成され、かつEUV光源チャンバ外での利用に向けて例えば約50nm及びそれ未満の波長で例えば半導体集積回路製造フォトリソグラフィに向けて収集されて焦点に誘導されるプラズマからEUV光を供給する極紫外線(EUV)光源に関する。
【背景技術】
【0002】
EUV光、例えば、EUVスペクトル内の電磁放射線(すなわち、約5〜100nmの波長を有する)は、シリコンウェーハのような半導体基板において極めて小さい特徴、例えば、32nmよりも小さい特徴)を製造するフォトリソグラフィ処理において有用であると考えられる。
EUV光を生成する方法には、1つ又はそれよりも多くの輝線がEUV範囲にあって、1つ又はそれよりも多くの元素、例えば、キセノン、リチウム又は錫、インジウム、アンチモン、テルル、アルミニウムなどを有する材料をプラズマ状態に変換することが含まれるが必ずしもこれに限定されるわけではない。レーザ生成プラズマ(LPP)ということが多い1つのこのような方法においては、所要のプラズマは、線放出元素を有する材料の液滴、流れ、又はクラスターのようなターゲット材料をレーザビームで照射することによって生成することができる。別の方法は、2つの電極の間に線放出元素を配置する。放電生成プラズマ(DPP)ということが多いこの方法は、電極間に放電を作り出すことによってプラズマを生成することができる。
【0003】
従来的に、線放出元素が照射/放電に向けて呈示される様々なシステムが開示されている。純粋な形態、例えば、純金属で元素を呈示すること、及び化合物として、例えば、塩又は例えば何らかの他の金属との合金として、又は例えば水のような溶剤で溶解した溶液中に元素を呈示することを含めようとして多くの異なる形態及び状態が試行されている。更に、線放出物質が、比較的揮発性の液体を含む液体、ガス、蒸気、及び/又は固体として呈示され、かつ液滴、流れ、移動するテープ、エーロゾル、液流中の粒子、液滴流中の粒子、ガス噴射のような形態とすることができるシステムも開示されている。
【0004】
これらの処理に対して、プラズマは、一般的に密封容器、例えば、真空チャンバ内で生成され、様々な形式の測定機器を使用してモニタされる。一般的なEUV光源は、1つ又はそれよりも多くのEUVミラー、例えば、Mo/Siのような段階的な多層コーティングで覆われた基板を含むことができる。次に、これらのミラーの1つ又はそれよりも多くを密封容器内に配置し、照射部位から隔てて、プラズマからEUV光源出力に出射されるEUV光を誘導するために配向させる。一般的に、これらのEUVミラーは、近垂直入射形式のミラー又は斜め入射形式のミラーとすることができる。一例として、LPP構成に対して、ミラーは、レーザ光が照射部位を通過して到達することを可能にする開口を有する楕円体、例えば、その中心近垂直入射形式を通過する線に垂直の円形断面を有する扁長回転楕円体とすることができる。この構成では、照射部位は、楕円体の第1の焦点に又はその近くに位置決めすることができ、光源出力は、第2の楕円焦点、その近く、又はその下流側に位置決めすることができる。
【0005】
EUV放射線を生成することに加えて、上述のこれらのプラズマ処理では、一般的に、帯域外放射線、高エネルギイオン及びデブリ、例えば、ターゲット材料の原子及び/又は塊り/ミクロ液滴)を含む可能な好ましくない副産物をプラズマチャンバで生成する恐れもある。これらのプラズマ形成副産物は、近垂直入射及び/又は斜め入射時のEUV反射が可能な多層ミラーコーティング(MLM)を含む集光ミラー、測定検出器表面、プラズマ形成処理を撮像するのに使用される窓及びレーザ入力窓を含むがこれらに限定されない様々なプラズマチャンバ光学要素の作動効率を潜在的に損なうか又は低減する可能性がある。熱、高エネルギイオン及び/又はデブリは、光透過率を低減する物質で光学要素を被覆すること、光学要素に浸入して、例えば、構造的一体性及び/又は光学特性、例えば、このような短波長で光を反射するミラーの機能に損害を与えること、及び光学要素を腐食、粗面化、及び/又は摩滅し、及び/又は光学要素内に拡散することを含むいくつかの方法で光学要素に有害である可能性がある。
【0006】
汚れた又は損傷した光学要素を洗浄又は交換するためにプラズマチャンバ内の光学要素にアクセスすることは、高価であり、労働集約的であり、かつ時間を消費することである可能性がある。特に、これらのシステムは、一般的に、プラズマチャンバを開けた後の再起動前に、プラズマチャンバのかなり複雑かつ時間を消費するパージング及び真空排気が必要である。この長時間の工程は、製造計画に悪影響を与えると共に、一般的に、休止時間が殆どなく作動することが望ましい光源の全体的効率を低減し兼ねない。
【0007】
一部のターゲット材料、例えば、錫に対して、材料、例えば、光学要素上に堆積したデブリをエッチングするためにプラズマチャンバにエッチング液、例えば、HBr又は何らかの他のハロゲン含有化合物、又はH基を導入することが望ましいと考えられる。このエッチング液は、光源作動中、作動以外の期間中、又はその両方の期間中に存在する場合がある。1つ又はそれよりも多くの元素の影響を受けた表面を加熱して反応を開始し、及び/又はエッチング液の化学反応速度を増大させ、及び/又はある一定のレベルでエッチング速度を維持する場合があることが更に考えられている。一部の材料、例えば、リチウムに対しては、堆積材料の一部分を気化させるのに十分な温度、例えば、エッチング液の使用有無に関わらず、シールド面からLiを蒸発させるために約400〜500度の範囲の温度までリチウムデブリが堆積した影響を受けた表面を加熱することが望ましいと考えられる。
【0008】
デブリの影響を低減する1つの方法は、集光ミラーを照射部位から遠ざけることである。これは、逆に、同じ光量を集光するためのより大きな集光ミラーの使用を意味する。集光ミラーの性能、例えば、できるだけ多くの帯域内光を例えば焦点に正確に誘導する機能は、集光ミラーの外観及び表面仕上げ、例えば、粗度に依存する。予想することができるように、集光ミラーが大型化する時に、適切な外観及び表面仕上げは生成しにくくなる。この環境に対して、EUVミラー基板の考慮事項には、以下のもの、すなわち、真空適合性、機械式強度、例えば、高い温度強度、高い熱伝導率、低い熱膨張率、寸法安定性、及び適切な外観及び仕上げを生成する容易さの1つ又はそれよりも多くを含むことができる。
【先行技術文献】
【特許文献】
【0009】
【特許文献1】米国特許出願出願番号第12/004、871号
【特許文献2】米国特許出願出願番号第11/827、803号
【特許文献3】米国特許出願出願番号第11/358、988号
【特許文献4】米国特許出願出願番号第11/067、124号
【特許文献5】米国特許出願出願番号第11/174、443号
【特許文献6】米国特許出願出願番号第11/358、992号
【特許文献7】米国特許出願出願番号第11/174、299号
【特許文献8】米国特許出願出願番号第11/406、216号
【特許文献9】米国特許出願出願番号第11/580、414号
【特許文献10】米国特許出願出願番号第11/644、153号
【特許文献11】米国特許出願出願番号第11/505、177号
【特許文献12】米国特許出願出願番号第11/452、558号
【特許文献13】米国特許第6、928、093号
【特許文献14】米国特許出願第11/394、512号
【特許文献15】米国特許出願第11/138、001号
【特許文献16】米国特許第6、693、939号
【特許文献17】米国特許出願第10/141、216号
【特許文献18】米国特許第6、625、191号
【特許文献19】米国特許出願第10/012002号
【特許文献20】米国特許第6、549、551号
【特許文献21】米国特許出願第09/848、043号
【特許文献22】米国特許第6、567、450号
【特許文献23】米国特許出願第09/943、343号
【特許文献24】米国特許出願出願番号第11/509、925号
【発明の概要】
【発明が解決しようとする課題】
【0010】
多くのファクタが、EUV光源から帯域内の出力強度(及び角度強度分布)に影響を与える恐れがあり、これらのファクタは、光源の使用寿命にわたって変わる場合がある。例えば、LPP構成に対して、例えば、誘導及び集束の関数として集光器反射率、ターゲットサイズ、レーザパルスエネルギ、及び持続時間、及び/又はレーザパルス及びターゲット材料の結合の変化は、帯域内のEUV出力強度に影響を与える場合がある。従って、問題を改善することができるようにどの構成要素/サブシステムが帯域内のEUV出力強度に悪影響を与えているかを判断することが望ましいであろう。可能であれば、各構成要素/サブシステムの性能をそれらが光源内で所定の位置(すなわち、原位置)にある間に、及び/又はEUV光源が作動している間に診断することが望ましいであろう。
上記を念頭に置いて、本出願人は、EUV光源構成要素と、EUV光源構成要素を製造、使用、及び修復する方法とを開示する。
【課題を解決するための手段】
【0011】
本特許出願の実施形態の第1の態様では、光学的劣化の程度を判断するためのEUVミラー原位置モニタリング方法は、EUVミラーがフォトリソグラフィ器具において作動位置にある間にEUVスペクトル外の波長を有する光でミラーの少なくとも一部を照射する段階/行為を含むことができる。本方法は、光がミラーから反射した後に光の少なくとも一部を測定する段階/行為と、測定値及びミラー劣化と光反射率の所定の関係を用いて多層ミラー劣化の程度を推定する段階/行為とを更に含むことができる。
【0012】
本方法の一実施例では、ミラーは、フォトリソグラフィ器具のEUV光源部内に作動的に位置決めすることができ、特定の実施例では、ミラーは、近垂直入射多層ミラーとすることができる。一実施形態では、照射する行為は、可視光の点光源で実行することができ、例えば、点光源は、発光ダイオード、又は例えば小さい発光領域を定めるために開口、例えば、比較的小さい開口と組み合わせた光源とすることができる。
【0013】
本方法に対して、ミラーは、第1の焦点及び第2の焦点を定める楕円形状を有することができ、照射する段階/行為は、第1の焦点に位置決めされた可視光点光源で実行することができ、測定する段階/行為は、第1の焦点より第2の焦点に近い位置に位置決めされた検出器で実行することができる。
例えば、照射する段階/行為は、第2の焦点で頂点を有する反射光の円錐及び拡散した反射光を生成する第1の焦点に位置決めされた点光源で実行することができる。この構成に対して、測定する段階/行為は、拡散した反射光を検出するために第2の焦点から隔てて位置決めされた検出器で実行することができる。本発明の一態様では、照射する段階/行為は、レーザビームで実行することができ、測定する段階/行為は、拡散した反射光を検出するように位置決めされた検出器で実行することができる。
【0014】
本特許出願の実施形態の別の態様では、光学的劣化の程度を判断するためのEUVミラー原位置モニタリングシステムは、EUVミラーがフォトリソグラフィ器具において、作動位置にある間に可視スペクトル内の波長を有する光でミラーの少なくとも一部を照射する光源と、多層ミラー劣化の程度を推定する際に使用される出力信号を生成し、光がミラーから反射した後に光の少なくとも一部の強度を測定する検出器とを含むことができる。
【0015】
本特許出願の実施形態の更に別の態様では、EUV放射線の特性を測定する測定装置は、検出要素と、窒化珪素を含むフィルタとを含むことができる。1つの構成においては、検出要素は、蛍光変換器とすることができ、測定された特性は、角度強度分布とすることができ、別の構成においては、検出要素は、フォトダイオードとすることができ、測定された特性は、強度とすることができる。
この態様の一構成においては、フィルタは、Ru、例えば、Ruの層を更に含むことができ、この態様の特定の構成においては、フィルタは、Ru及びZrを更に含むことができる。この態様の別の構成においては、フィルタは、複数のRu層及び複数の窒化珪素層を更に含むことができる。この態様の特定の更に別の実施形態では、フィルタは、Pd、例えば、Pd層を更に含むことができ、この態様の特定の構成においては、フィルタは、Pd及びZrを更に含むことができる。この態様の別の構成においては、フィルタは、複数のPd層及び複数の窒化珪素層を更に含むことができる。
【0016】
本特許出願の実施形態の更に別の態様では、EUV光の角度強度分布を測定する測定装置は、ウランを含む蛍光変換器及びフィルタを含むことができる。この態様の一実施例では、フィルタは、Ruを更に含むことができる。
本特許出願の実施形態の別の態様では、EUV放射線の特性を測定する測定装置、例えば、蛍光変換器又はフォトダイオードは、検出要素と、各々が比較的低屈折率材料及び比較的高屈折率材料を有する複数の二層を有する透過多層コーティングを含むフィルタとを含むことができる。例えば、コーティングは、複数のMo層及び複数のSi層を含む透過多層コーティング、又は複数のZr層及び複数のSi層を含む透過多層コーティングとすることができる。
【0017】
本特許出願の実施形態の別の態様では、EUV放射線の特性を測定する測定装置、例えば、蛍光変換器又はフォトダイオードは、検出要素及びウラン及びRuを含むフィルタを含むことができる。
別の態様では、多層近垂直入射反射コーティングで覆われた第1の側面及び反対の側面を有するEUV光源集光ミラーからプラズマ形成によって発生したデブリを除去するための装置は、反対の側面の少なくとも一部の上に重なるように堆積された導電コーティングと、コーティング内に電流を発生させて集光ミラーを加熱するシステムとを含むことができる。導電コーティングは、蒸着コーティング、火炎溶射コーティング、電気メッキコーティング、又はその組合せとすることができるが、必ずしもこれらに限定されるものではない。
【0018】
一実施形態では、コーティングは、集光ミラーの第1のゾーンを第1の温度T1に加熱し、第1のゾーンからデブリを除去して、集光ミラーの第2のゾーンを第2の温度T2に加熱し、第2のゾーンからデブリを除去するように形成することができ、T1≠T2である。特定の実施形態では、第1のゾーンは、第2のゾーンと異なるコーティング面積被覆率を有することができる。別の特定の実施形態では、第1のゾーンは、第2のゾーンと異なるコーティング厚みを有することができる。別の特定の実施形態では、第1のゾーンは、第2のゾーンと異なるコーティング導電率を有することができる。上記は、3つ又はそれよりも多くのゾーン、例えば、ちょうど2つよりも多いゾーンが異なる温度である可能性があることを意味する。
一実施例では、このシステムは、電磁放射線を生成するように形成することができ、システムは、電磁気放射電力P1を集光ミラーの第1のゾーンに、電磁気放射電力P2を集光ミラーの第2のゾーンに送出することができ、P1≠P2である。
【0019】
本特許出願の別の態様では、プラズマ形成によって発生したデブリに露出された表面を有するミラー装置は、多層近垂直入射反射コーティングで覆われ、導電材料でドープされた材料で作られた基板と、コーティング内に電流を発生させて集光ミラーを加熱するシステムとを含むことができる。
この態様の一実施形態では、コーティングは、集光ミラーの第1のゾーンを第1の温度T1に加熱し、第1のゾーンからデブリを除去して、集光ミラーの第2のゾーンを第2の温度T2に加熱し、第2のゾーンからデブリを除去するように形成することができ、T1≠T2である。特定の実施例では、第1のゾーンは、第2のゾーンと異なる基板導電率を有することができる。別の実施例では、このシステムは、電磁放射線を生成するように形成することができ、システムは、電磁気放射電力P1を集光ミラーの第1のゾーンに、電磁気放射電力P2を集光ミラーの第2のゾーンに送出することができ、P1≠P2である。
【0020】
本特許出願の別の態様では、近垂直入射EUVミラーを調製する方法は、基板を準備する段階/行為と、基板の表面をダイヤモンド切削する段階/行為と、物理蒸着を用いてその表面の上に重なる少なくとも1つの中間材料を堆積させる段階/行為と、中間材料の上に重なる多層ミラーコーティングを堆積させる段階/行為とを更に含むことができる。
例えば、多層ミラーコーティングは、Mo及びSiの交互層を含むことができる。
【0021】
この態様に対して、基板は、インバール、コバール、モネル、ハステロイ、ニッケル、インコネル、チタン、ニッケル亜リン酸エステルメッキ/被覆されたアルミニウム、ニッケル亜リン酸エステルメッキ/被覆されたインバール、ニッケル亜リン酸エステルメッキ/被覆されたコバールから成る金属材料の群から選択することができ、又は基板は、半導体材料、単結晶シリコン又は多結晶シリコンとすることができる。一部の構成においては、ミラーは、500mmを超える直径を有する楕円ミラーとすることができる。
【0022】
一実施形態では、中間材料は、少なくとも1つのエッチング液に対して多層ミラーコーティングと実質的に異なるエッチング感度を有するエッチストップ材料を含むことができ、特定の実施形態では、エッチストップ材料は、Si、B4C、酸化物、SiC、及びCrから成る材料の群から選択することができる。一部の場合には、エッチ停止層は、3〜100nmの範囲の厚みを有することができる。
一実施形態では、中間材料は、多層ミラーコーティングへの金属質基板の拡散を大幅に低減する障壁材料を含むことができ、特定の実施形態では、障壁材料は、ZrN、Zr、MoSi2、Si34、B4C、SiC、及びCrから成る材料の群から選択することができる。
【0023】
一実施形態では、中間材料は、平滑化材料を含むことができ、特定の実施形態では、平滑化材料は、Si、C、Si34、B4C、SiC、ZrN、Zr、及びCrから成る材料の群から選択することができる。一部の実施例では、平滑化材料は、高エネルギ堆積条件を使用して堆積させることができ、例えば、堆積条件には、基板加熱があり、及び/又は堆積条件には、堆積中に粒子エネルギを増大させることが含まれる。
一部の場合には、平滑化層は、金属質の基板の上に重なり、かつ接触することができる。一実施形態では、平滑化層は、3〜100nmの範囲の厚みを有することができる。特定の実施例では、平滑化層は、非晶質材料を含むことができる。
一実施例では、堆積させる段階/行為は、イオンビームスパッタ堆積、電子ビーム物理蒸着マグネトロンスパッタリング、及びその組合せから成る技術の群から選択された物理蒸着技術を使用して実行することができる。
【0024】
本特許出願の別の態様では、近垂直入射EUVミラーを修復する方法は、基板と、基板の上に重なる少なくとも1つの中間材料と、中間材料の上に重なる多層ミラーコーティングとを有するEUVミラーを準備する段階/行為と、多層ミラーコーティングを除去して露出面を生成する段階/行為と、次に、露出面を化学研磨する段階/行為と、平滑化材料を堆積させる段階/行為と、中間材料の上に重なる多層ミラーコーティングを堆積させる段階/行為とを含むことができる。
【0025】
この態様の一実施例では、平滑化層は、5〜15μmの範囲の厚みを有することができ、除去する段階/行為では、ダイヤモンド旋削を用いて多層ミラーコーティングを除去することができる。
特定の実施例では、平滑化材料は、ZrN、Zr、MoSi2、Si34、B4C、SiC、及びCrから成る材料の群から選択することができる。
特定の実施例では、多層ミラーコーティングは、平滑化材料の上に重なり、かつ接触することができる。
【0026】
特定の実施例では、第1の中間層、エッチ停止層、例えば、Cr層又はTiO2層は、エッチ停止層の堆積により引き起こされる場合がある粗面化の影響を低減するために、第2の中間層、平滑化層又は拡散障壁層、例えば、ZrN、Zr、Si、C、Si34、B4C、SiC、又はMoSi2により覆うことができる。
一実施例では、除去する段階/行為では、化学エッチングを用いて多層ミラーコーティングを除去することができ、特定の実施例では、中間層は、5〜20nmの範囲の厚みを有することができ、除去する段階/行為では、化学エッチングを用いて多層ミラーコーティングを除去することができる。
【0027】
本特許出願の別の態様では、EUV光を生成する方法は、基板と、第1の多層コーティングスタックと、第1の多層コーティングスタックの上に重なる停止層と、停止層の上に重なる第2の多層コーティングスタックとを有するEUVミラーを準備する行為と、ミラーを使用して、第2の多層コーティングスタックを劣化させるデブリを発生させるEUV光放出プラズマによって生成されたEUV光を反射する行為と、その後にミラーにエッチングして停止層の少なくとも一部を露出させる行為と、その後にミラーを使用してEUV光放出プラズマによって生成されたEUV光を反射する行為とを含むことができる。
この態様の一実施例では、停止層は、ZrN、Zr、Si34、SiB6、SiC、C、Cr、B4C、Mo2C、SiO2、ZrB2、YB6、及びMoSi2から成る材料の群から選択される材料を含むことができ、エッチングする段階は、Cl2、HCl、CF4、及びその混合物から成る材料の群から選択されるエッチング液を使用することができる。
【0028】
この方法の一実施形態では、第2の多層コーティングスタックは、各々がMo層及びSi層を有する複数の二層を含むことができ、特定の実施形態では、第2の多層コーティングスタックは、複数のMo層、複数のSi層、及びSi層からMo層を分離する複数の拡散障壁層を含むことができる。
一構成においては、第2の多層コーティングスタックは、40個を超える二層を含むことができる。
【0029】
一部の場合には、停止層は、第2の多層コーティングスタックから第1の多層コーティングスタックまでのミラーの周期性を維持するように選択された厚みを有することができる。
この態様の特定の実施形態では、停止層は、第1の停止層とすることができ、ミラーは、第2の多層コーティングスタックの上に重なる第2の停止層及び第2の停止層の上に重なる第3の多層コーティングスタックを含むことができる。
【図面の簡単な説明】
【0030】
【図1】本出願の態様によるレーザ生成プラズマEUV光源の略示概略図である。
【図2】光学的劣化の程度を判断する楕円体EUVミラーの原位置モニタリングのための器具を有するLPP−EUV光源の各部の概略図である。
【図3】光学的劣化の程度を判断する楕円体EUVミラーの原位置モニタリングのための器具の異なる実施形態を有するLPP−EUV光源の各部の概略図である。
【図4】光学的劣化の程度を判断する楕円体EUVミラーの原位置モニタリングのための器具の異なる実施形態を有するLPP−EUV光源の各部の概略図である。
【図5】光学的劣化の程度を判断する楕円体EUVミラーの原位置モニタリングのための器具の異なる実施形態を有するLPP−EUV光源の各部の概略図である。
【図6】検出要素及び狭帯域EUV透過率フィルタを有する放射線EUV放射線の特性を測定する測定装置の一部を示す図である。
【図7A】様々なフィルタ材料に関する透過率強度(正規化)対ナノメートル単位の波長の計算プロットを示す図である。
【図7B】様々な厚みを有する脱濃縮ウランフィルタに関する透過率強度対ナノメートル単位の波長を示す計算プロットを示す図である。
【図7C】様々な厚みを有する脱濃縮ウランフィルタに関する透過率強度対ナノメートル単位の波長を示す計算プロットを示す図である。
【図7D】様々な厚みを有する脱濃縮ウランフィルタに関する透過率強度対ナノメートル単位の波長を示す計算プロットを示す図である。
【図7E】厚み約0.2μmのウラン層及び約50nm厚Ru層を有するフィルタに関する透過率強度対ナノメートル単位の波長の計算プロットを示す図である。
【図8A】EUV反射ミラーに関する背面加熱器の実施形態を示す図である。
【図8B】EUV反射ミラーに関する背面加熱器の実施形態を示す図である。
【図8C】EUV反射ミラーに関する背面加熱器の実施形態を示す図である。
【図9A】基板、中間層、及び多層ミラーコーティングを有する近垂直入射EUV集光ミラーの断面図である。
【図9B】基板、第1の中間層、第2の中間層及び多層ミラーコーティングを有するミラー、例えば、近垂直入射EUV集光ミラーの断面図である。
【図10】基板、5つの多層コーティングスタック、及び多層コーティングスタックを分離する4つの停止層を有するミラー、例えば、近垂直入射EUV集光ミラーの断面図である。
【図11】基板、各々が複数の比較的高い屈折率層、複数の比較的低い屈折率層、及び高屈折率層を低屈折率層から分離する複数の拡散障壁層を有する複数の多層コーティングスタックを有するミラー、例えば、近垂直入射EUV集光ミラーを示す断面図である。
【発明を実施するための形態】
【0031】
最初に図1を参照すると、実施形態の一態様によるEUV光源、例えば、レーザ生成プラズマEUV光源20の概略図が示されている。図1に示し、かつ以下でより詳細に説明するように、LPP光源20は、一連の光パルスを生成してチャンバ26内に光パルスを送出するシステム22を含むことができる。以下で詳細するように、各光パルスは、システム22からビーム経路に沿って、かつチャンバ26内に進んで照射領域で、例えば、楕円面ミラーの焦点28で又はその近くでそれぞれのターゲット液滴を照らすことができる。
【0032】
図1に示す装置22において使用される適切なレーザは、パルスレーザ装置、例えば、比較的高電力、例えば、10kW又はそれよりも大きい及び高パルス繰返し数、例えば、50kHz又はそれよりも大きいもので作動する例えばDC又はRF励起で、例えば、9.3μm又は10.6μmで放射線を生成するパルスガス放電CO2レーザ装置を含むことができる。1つの特定の実施例では、レーザは、増幅の複数の段階を備えたMOPA構成を有し、かつ例えば100kHz作動が可能である低エネルギ及び高繰返し数を有するQスイッチ式主発振器(MO)により開始されるシードパルスを有する軸流RF励起CO2とすることができる。MOから、レーザパルスは、次に、LPPチャンバに入る前に、増幅、成形、及び集束することができる。連続励起CO2増幅器をシステム22に使用することができる。例えば、発振器及び3つの増幅器(O−PA1−PA2−PA3構成)を有する適切なCO2レーザ装置は、代理人整理番号第2005−0044−01号である2005年6月29日出願の「LPP−EUV光源駆動レーザシステム」という名称の現在特許出願中の米国特許出願出願番号第11/174、299号に開示されており、この特許の内容全体は、引用により本明細書に先に組み込まれたものである。代替的に、レーザは、液滴が光キャビティの1つのミラーとして機能するいわゆる「自己ターゲット式」レーザシステムとして形成することができる。一部の「自己ターゲット式」構成においては、主発振器は、不要とすることができる。自己ターゲット式レーザシステムは、代理人整理番号第2006−0025−01号である2006年10月13日出願の「EUV光源のための駆動レーザ送出システム」という名称の現在特許出願中の米国特許出願出願番号第11/580、414号に開示かつ特許請求されており、この特許の内容全体は、引用により本明細書に先に組み込まれたものである。
【0033】
用途に基づいて、他の形式のレーザは、例えば、高電力及び高パルス繰返し数で作動するエキシマ又は分子フッ素レーザとすることができる。例示的に、例えば、米国特許第6、625、191号、米国特許第6、549、551号、及び米国特許第6、567、450号に示すようなファイバ又は円板状活性媒体を有する固体レーザ、MOPA構成エキシマレーザシステム、1つ又はそれよりも多くのチャンバ、例えば、発振チャンバ及び1つ又はそれよりも多くの増幅チャンバ(増幅チャンバは並列又は直列)を有するエキシマレーザ、主発振器/電力発振器(MOPO)構成、電力発振器/電力増幅器(POPA)構成があり、又は1つ又はそれよりも多くのエキシマ又は分子フッ素増幅器又は発振器チャンバにシードを供給する固体レーザが適切とすることができる。他の設計も可能である。
【0034】
図1に更に示すように、EUV光源20は、例えば、液滴が、1つ又はそれよりも多くの光パルス、例えば、1つ又はそれよりも多くのプレパルスと、次に、1つ又はそれよりも多くの主パルスと相互作用して最終的にプラズマを生成してEUV放射を生成する照射領域までチャンバ26内部にターゲット材料の液滴を送出するターゲット材料送出システム24を含むことができる。ターゲット材料には、錫、リチウム、キセノン、又はその組合せを含む材料を含むことができるが、必ずしもこれらに限定されるものではない。EUV放出元素、例えば、錫、リチウム、キセノンなどは、液滴及び/又は液滴内に含まれた固体粒子の形態とすることができる。例えば、元素錫は、純粋な錫として、錫化合物、例えば、SnBr4、SnBr2、SnH4として、錫合金、例えば、錫ガリウム合金、錫インジウム合金、錫インジウムガリウム合金、又はその組合せとして使用することができる。使用する材料に基づいて、ターゲット材料は、室温を含む様々な温度で又は室温の近くで(例えば、錫合金、SnBr4)、高温で(例えば、純粋な錫)、又は室温よりも低い温度で(例えば、SnH4)照射領域に供給することができ、一部の場合には、比較的揮発性、例えば、SnBr4とすることができる。LPP−EUV源におけるこれらの材料の使用に関する更なる詳細は、代理人整理番号第2006−0003−01号である2006年4月17日出願の「EUV光源のための代替燃料」という名称の現在特許出願中の米国特許出願出願番号第11/406、216号で呈示されており、この特許の内容全体は、引用により本明細書に先に組み込まれたものである。
【0035】
引き続き図1に関して、EUV光源20は、光学系30、例えば、モリブデン及びシリコンの交互層を有する漸変多層コーティングを有する長軸に対して直角に切断された楕円の形態の例えば集光ミラーを含むことができる。図1は、システム22によって生成される光パルスが照射領域28を通過して到達することを可能にする開口を光学系30に形成することができることを示している。図示のように、光学系30は、例えば、照射領域内又はその近くに第1の焦点、及びEUV光を光源20から出力して、例えば、集積回路リソグラフィツール(図示せず)に入力することができるいわゆる中間領域40に第2の焦点を有する楕円面ミラーとすることができる。他の光学系は、EUV光を利用する装置へのその後の送出に向けて光を中間位置に集光して誘導する楕円面ミラーの代わりに使用することができ、例えば、光学系は、放物線状とすることができ、又は環状断面を有するビームを中間位置に送出するように形成することができることは認められるものとする。例えば、代理人整理番号第2006−0027−01号である2006年8月16日出願の「EUV光学系」という名称の米国特許出願出願番号第11/505、177号を参照することができ、この特許の内容全体は、本明細書において引用により組み込まれている。
【0036】
引き続き図1を参照すると、EUV光源20は、EUVコントローラ60を含むことができ、EUVコントローラ60は、システム22内の1つ又はそれよりも多くのランプ及び/又はレーザ装置を起動させることによってチャンバ26内に送出する光パルスを生成する発射制御システム65を含むことができる。光源20は、液滴位置検知システムを含むことができ、液滴位置検知システムは、例えば、照射領域に対して1つ又はそれよりも多くの液滴の位置を示す出力を供給する1つ又はそれよりも多くの液滴撮像器70を含むことができる。撮像器70は、液滴位置検出フィードバックシステム62にこの出力を供給することができ、液滴位置検出フィードバックシステム62は、例えば、液滴単位で又は平均して液滴誤差を計算することができ、例えば、液滴位置及び軌道を計算することができる。液滴誤差は、次に、光源コントローラ60への入力として供給することができ、コントローラは、例えば、ソースタイミング回路を制御するために、及び/又は例えばチャンバ26内の照射領域28に送出されている光パルスの位置及び/又は集束力を変えるようにビーム位置及び成形システムを制御するために、システム22に位置、方向、及び/又はタイミング補正信号を供給することができる。
【0037】
EUV光源20は、光源20によって生成されたEUV光の様々な特性を測定する1つ又はそれよりも多くのEUV測定計器を含むことができる。これらの特性には、例えば、強度(例えば、全体的強度又は特定のスペクトル帯域内での強度)、スペクトル帯域幅、偏光、ビーム位置、指向などを含むことができる。EUV光源20に対して、計器は、例えば、ピックオフミラーを使用してEUV出力の一部をサンプリングするか、又は「未集光」EUV光をサンプリングすることにより、下流側ツール、例えば、フォトリソグラフィスキャナがオンラインである間に作動するように形成することができ、及び/又は例えばEUV光源20のEUV出力全体を測定することによって下流側ツール、例えば、フォトリソグラフィスキャナがオフラインである間に作動させることができる。
【0038】
図1に更に示すように、EUV光源20は、一部の実施例では、上述の液滴誤差又はそこから導出された何らかの数量を含むことができる信号に応答して作動可能である液滴制御システム90と、例えば、液滴源92からのターゲット物質の放出点を修正し、及び/又は液滴形成タイミングを修正するための、すなわち、望ましい照射領域28に到達する液滴の誤差を補正し、及び/又は液滴の発生をパルスレーザシステム22と同期させるためのコントローラ60とを含むことができる。
【0039】
様々な液滴分注器構成及び関連する利点に関する更な詳細は、代理人整理番号第号2007−0030−01である2007年7月13日出願の「変調妨害波を使用して生成した液滴流を有するレーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/827、803号と、代理人整理番号第2005−0085−01号である2006年2月21日出願の「プレパルスによるレーザ生成プラズマEUV光源」という名称の現在特許出願中の米国特許出願出願番号第11/358、988号と、代理人整理番号第2004−0008−01号である2005年2月25日出願の「EUVプラズマ源ターゲット送出の方法及び器具」いう名称の現在特許出願中の米国特許出願出願番号第11/067、124号と、代理人整理番号第2005−0003−01号である2005年6月29日出願の「LPP−EUV光源材料ターゲット送出システム」という名称の現在特許出願中の米国特許出願出願番号第11/174、443号とに見ることができ、これらの各々の内容は、本明細書において引用により組み込まれている。
【0040】
図2をここで参照すると、光学的劣化の程度を判断するためのEUVミラーの原位置モニタリングのための器具が示されている。図示のように、モニタリング器具は、鏡面を照射するためにミラー30の反射面に向けて光を誘導するように位置決めされた光源100を含むことができる。一般的に、光源は、EUVスペクトル(すなわち、波長帯1〜100nm)外である光を供給する。1つの構成においては、光源は、可視光を供給することができる。一部の場合には、点光源を使用することが有利であると考えられ、例えば、点光源は、例えば、比較的小さい光放出面積を有する〜1mm直径LEDである発光ダイオード(LED)とすることができ、比較的大きな発散光放出を用いることもできる。代替的に、放出領域を低減するための開口、例えば、比較的小さい開口の背後に位置決めされたより大きい光源を用いることもできる。
【0041】
楕円状ミラーに対して、光源100は、図2に示す近い(又は1次)焦点28のような焦点の1つに位置決めすることができ、従って、図示のように、(遠い又は2次)焦点40で頂点を有する反射光102の円錐が生成される。同じく図示のように、モニタリング器具は、例えば、CCDカメラと共に反射光の画像を生成するスクリーン、例えば、白いスクリーン、及び反射光分布を記録する任意的なレンズを含むことができる検出器104を含むことができる。代替的に、CCDカメラは、中間焦点40の後の光円錐内に設けることができる。スクリーンは2次焦点40の下流側に位置決めされるように示されているが、代替的に、1次焦点28と2次焦点40の間に位置決めすることができる。他の適切な検出器は、蛍光増感紙、フォトダイオードアレイ、及び他の光学カメラを含むが必ずしもこれらに限定されるものではない。
【0042】
使用時には、図1に示すEUV光源20は、所定の数のパルスが得られるように作動させることができる。EUV光源20は、次に、作動を停止して、真空チャンバ26を開くことができる。開かれた状態で、光源100及び検出器104は、それぞれの位置に位置決めすることができる。代替構成においては、位置決めシステム(図示せず)は、チャンバ26内に据え付けることができ、チャンバ26内の高真空を壊すことなく光源100及び検出器104を位置決めすることを可能にする。いずれの場合にも、モニタリング器具を使用して、ミラーを移動させる必要がなく、かつミラーのアラインメントに影響を与えることなく、ミラーの光学的劣化の程度を判断することができる。光源100及び検出器104が適切に位置決めされた状態で、反射光の画像を検出器により取得し、以前に取得したデータ(すなわち、以前に測定、すなわち、経験的に導出されたもの)又はミラー劣化と光反射率間の計算による関係と比較することができる。例えば、シンクロトロン放射を使用して、EUV反射率を判断してEUV反射率と非EUV光の反射率の経験的な関係を確立することができる。一般的に、例えば、イオン/粒子衝撃及び/又は物質堆積、例えば、微小液滴が原因で鏡面微細粗度が増加すれば、結果的として、これに対応して鏡面反射光が減少する。必要に応じて、次に、光学的劣化測定値を使用してEUV反射率を推定することができる。
【0043】
代替的に、又は所定数のEUV光出力パルスの後にモニタリング器具を使用することに加えて、モニタリング器具は、仕様外れの(又は、殆ど仕様外れの)EUV光源、例えば、不特定EUV出力強度、帯域、角度均一性などを有するEUV光源を診断するのに使用することができる。一般的なEUV光源に対して、ミラー反射率、入力レーザエネルギ及び特性、液滴サイズ、液滴とレーザパルスの相互作用などのいくつかのファクタがEUV光出力に影響を与える場合がある。このような多くの変数があると、単に様々な光源構成要素に調節をすることでどのファクタが仕様外れのEUV出力の原因となっているかを見出すことは容易ではないと考えられる。これを念頭に置くと、本明細書で説明するミラーモニタリング器具により、光源からミラーを除去することなく、かつ測定値を実行するためにEUV光を生成する必要もなく光学的劣化測定を行うことができる。
【0044】
図3は、光源100、例えば、上述のようにEUVスペクトル外の光を出射する光源が、図3に示す(遠い又は2次)焦点40のような焦点の1つに位置決めされ、ミラー30の反射面を照射するように配向することができ、従って、図示のように、近い(又は1次)焦点28で頂点を有する反射光102の円錐が生成される代替構成を示している。また、図示のように、モニタリング器具は、1次焦点28で又はその近くに位置決めされて、1次焦点28から検出器104に光を誘導するように配向された光学系(例えば、90度回転ミラー)を含むことができる(上述のように)。同様に、光源は、適切な光学系及び90度回転ミラーにより2次焦点40に合わせて撮像することができる。光学的劣化のモニタリングは、従って、システムの真空を壊すことなく、光源チャンバの窓を通じて行うことができる。
【0045】
図4は、ミラーの光学的劣化の程度を判断するために拡散反射、例えば、散乱光を評価することができる(単独で又は上述の鏡面反射と共に)別の構成を示している。一般的に、例えば、イオン/粒子衝撃及び/又は物質堆積、例えば、微小液滴により引き起こされた鏡面微細粗度が増加すれば、結果的として、これに対応して拡散反射光の量が増大する。図示のように、レーザ光源100は、EUVミラー30表面の一部又は全てを照射し、従って、例えば、イオン/粒子衝撃及び/又は物質堆積により引き起こされた鏡面の全体的な外観に関連する鏡面反射及び小規模の表面粗度に関連する拡散反射になるように位置決め又は計画することができる。図3に示す特定の例に対して、光源100は、楕円面ミラー30の1次焦点28に位置決めされるように示されており、従って、図示のように、2次焦点40で頂点を有する鏡面反射光102の円錐が生成される。また、図示のように、モニタリング器具は、拡散反射量を測定するように照射光線に対して斜めの角度に位置決めされた検出器104、例えば、上述のようなCCDカメラを含むことができる。次に、測定データは、以前に取得したデータ(すなわち、以前に測定、すなわち、経験的に導出したもの)又はミラー劣化と拡散光反射率間の計算による関係と比較することができる。
【0046】
図5は、ミラーの光学的劣化の程度を判断するために拡散反射、例えば、散乱光を評価することができる(単独で又は上述の鏡面反射と共に)別の構成を示している。図示のように、レーザ光源150は、楕円EUVミラー30表面(焦点28、40を有する)の比較的小さい表面の位置153に入射レーザビーム152を誘導するように位置決めすることができる。図示のように、ビームは、反射ビーム154としてそこから鏡面反射される。検出器156は、入射角に対して予め選択された角度で散乱光を受け取るように位置決めされ、一部の場合には、例えば、入射角に対して複数の角度で散乱光を測定するために矢印158に沿って移動可能にすることができる。集光ミラー30表面の数ヵ所の位置からの散乱光を評価することができ、又は必要に応じて、表面全体は、レーザビームで走査、例えば、ラスター走査することができる。次に、測定データは、以前に取得したデータ(すなわち、以前に測定、すなわち、経験的に導出したもの)又はミラー劣化と拡散光反射率間の計算による関係と比較することができる。入射光及び散乱光は、チャンバ窓を通じて伝播することができる。従って、散乱光のこのような測定は、システムの真空を壊すことなく行うことができる。以上の説明(すなわち、図2〜図5の説明)は、楕円集光ミラーを参照して行ったが、上述の教示内容は、集光ミラーの域を超え、特に近垂直入射楕円面ミラーの域を超えるものであり、以下に限定されるものではないが、平坦ミラー、球面ミラー、非球面レンズ、放物面ミラー、視射角入射ミラー、及びいわゆる環状視野光学系/集光ミラーを含むことは認められるものとする。
【0047】
図6は、EUV放射線の特性を測定する測定装置200の一部を示している。図示のように、装置200は、検出要素202及び狭帯域EUV透過率フィルタ204を含むことができる。例えば、検出要素202は、例えば、EUV光源を出るEUVの角度強度分布を測定する例えばCE:YAG結晶を有する蛍光変換器とすることができ、又は検出要素202は、EUV強度を測定するフォトダイオードとすることができる。装置200に対して、フィルタ204は、検出要素202の作動可能な表面の上に重なって恐らく接触するように堆積されたコーティング(1つ又はそれよりも多くの層を有する)とすることができる。代替的に又は堆積コーティングに加えて、フィルタ204は、EUV光路に沿って、かつ検出要素202の前に位置決めされた1つ又はそれよりも多くの非堆積膜/箔から成ることができる。この点に関しては、材料組成及び厚みが異なるいくつかのフィルタが開示されており、各フィルタは、EUV透過率帯域及びピーク透過率を有する。一般的に、測定装置200は、約13.5nmで比較的小さいEUV帯域及び強度ピークを有する光を反射する1つ又はそれよりも多くの多層ミラー、例えば、Mo/Siミラーの下流側で使用することができる。しかし、適切なフィルタがない場合、EUV光源の出力を測定する測定学検出器は、他の波長の光、例えば、可視IR及びUV範囲、並びに帯域外EUV放射線の光に(望ましくなく)露出される場合がある。更に、現在考えられているように、EUV光源を出る光は、いくつかのMo/Siミラーから反射され、各ミラーは、EUV光がウェーハと相互作用する前にEUV光源出力をフィルタリングする。従って、EUV光源出力に測定を行う時、フィルタを通じてウェーハに到達するEUV光のシミュレーションを行うことが望ましいであろう。従来的に、約13.5nm辺りで比較的広い帯域を有するZr又はSi吸収縁部のために12.5nmの近くにピークがあるSi又はその組合せを使用することが提案されている。
【0048】
図7Aをここで参照すると、透過光強度(正規化)対ナノメートル単位の波長のいくつかの計算プロットが示されており、プロット300は、200nmの厚みを有する窒化珪素(Si34)フィルタに対応する。図示のように、Si34フィルタは、約12.5nmの波長でピーク透過率を有する。EUVフィルタリングに対して以前に提案したSiと比較すると、Si34箔の方が引張強度が高く、引張接着強さを有し、化学的侵食性環境に対して影響を受けずかつ強い。また、窒化珪素は、窒化珪素を使用する時にのみ得られるものよりも劣る帯域が得られるように遷移金属と結合することができる。図7Aは、2種類の窒化珪素と遷移金属の結合に関する透過率強度(正規化)対ナノメートル単位の波長の計算プロットを示している。特に、プロット302は、200nmの厚みを有する窒化珪素(Si34)及び50nm2の厚みでのパラジウム(Pd)を有するフィルタに対応し、プロット304は、200nmの厚みを有する窒化珪素(Si34)及び50nm2の厚みでのルテニウム(Ru)を有するフィルタに対応する。両方のプロット302、304に対して、透過率ピークは、12.5nmの近くであり、帯域は、窒化珪素のみを有するフィルタに対応するプロット300よりも狭い。具体的には、Si34/Pd(プロット302)の半値全幅(FWHM)帯域は、<1nmであり、Si34/Ru(プロット304)のFWHM帯域は、1.5nmの近くである。
【0049】
図7B、図7C、及び図7Dをここで参照すると、透過光強度対ナノメートル単位の波長のいくつかの計算プロットが示されており、プロット400(図7B)は、0.1μmの厚みを有する非濃縮ウランフィルタに対応し、プロット402(図7C)は、0.2μmの厚みを有する非濃縮ウランフィルタに対応し、プロット404(図7D)は、0.3μmの厚みを有する非濃縮ウランフィルタに対応する。図示のように、EUV透過率のピークは、13.3nmの近くであり、FWHM帯域幅は、フィルタの厚みに基づいて、〜2nmと〜1nmの間にある。注:フィルタは、肉厚であるほど、帯域は狭く、透過率は低い。ピークの近くのEUV放射線への透過率は、フィルタの厚みに基づいて40%と10%の間にあるということも見出されている。
図7Eは、厚み約0.2μmのウラン層及び約50nm厚Ru層を有するフィルタに関する透過率強度対ナノメートル単位の波長の計算プロットを示している。帯域は、ウランフィルタ(図7B〜図D)よりも狭く、ピーク透過率は、10%の近くであることが見出されている。
【0050】
別の適切なフィルタは、20〜40の二層から成るMo/Si又はZr/Si透過多層で製造することができる。透過率は、2%の近くであり、帯域は、約0.4nmであり、二層の周期は、Mo/Siの場合は約7.0nmである。例えば、4.0nmのシリコン層厚及び1.75nmのZr層厚の20個の二層を使用するZr/Si透過率多層の場合、ほぼ80%の透過率を得ることができる。しかし、帯域は、この場合、7nmを超える(半値全幅)。
【0051】
図8Aは、集光ミラー30のようなミラーの反射面の温度を制御するEUV反射ミラーのための背面加熱器、すなわち、ミラーの反射面の反対側に位置決めされた加熱器の実施形態を示している。一用途においては、加熱器を使用して、ミラーの表面温度、及び従ってミラー表面上に堆積したプラズマ生成デブリと反応するエッチング液を使用するEUV光源のエッチング速度を制御することができる。一般的に、エッチング速度は、温度に依存すると考えられる。例えば、HBr及び/又はBr2エッチング液を使用した錫除去率は、150〜400℃の範囲の温度に強く依存することが見出されている。以下でより詳細するように、背面加熱器は、ミラーの反射面内の均一な温度を維持するように異なる温度に表面の異なるゾーンを加熱するか、又はデブリ堆積量が増加するゾーンでより高い表面温度を提供し、従って、これらのゾーンに対してエッチング速度が増大するように形成することができる。例えば、プラズマ生成が近くの焦点で行われる楕円集光ミラーに対して、ミラーの一部のゾーンは、プラズマに近くなり、従って、他のゾーンよりもプラズマに対して加熱量を増大することができる。この場合、必要に応じて、背面加熱器は、差動加熱を使用してミラーの反射面に均一な温度を確立することができる。
【0052】
集光ミラーの使用寿命は、EUV光源の総コストにおいて支配的な役割を演ずると考えられる。従って、比較的長い使用寿命を有する加熱システムのような集光ミラー構成要素を使用することが望ましいであろう。この点に関して、一部の構成においては、加熱システムの一部又は全ては、HBr/Br2のようなエッチング液及び高温に露出される場合がある。更に、一部の構成に対して、加熱システム構成要素は、EUV光源の作動可能部分と流体連通する場合がある。これらの構成に対して、光学系の作動可能面に堆積し、及び/又はEUV光を吸収する場合がある汚濁物質が発生しない材料を使用することが望ましいであろう。窒化珪素又は酸化シリコンのような非反応化合物の1〜数百nmの厚みの層での反射に使用されないミラー取付具及び鏡面に被覆を付加して、エッチング液との反応を回避すると共に表面浸蝕を防止することができる。
【0053】
図8Aは、モリブデンのような導電コーティング500が、各々が1対の端子を有する2つの回路から成る予め選択されたパターンで集光器基板の背面502上に堆積された背面加熱器の構成を示している。2つの回路が図8Aに示されているが、2つ又はそれよりも多くの及び僅か1つの回路を使用することができることは認められるものとする。
例えば、このコーティングは、物理蒸着、化学蒸着、フレーム溶射電気メッキ、又はその組合せを用いて基板上へ直接に付加することができる。基板の直接的な付加により導電材料及び基板の良好な熱接触が得られる。例えば、基板は、SiC、多結晶シリコン、又は単結晶シリコンで形成することができる。殆どの場合、例えば、コーティングの割れ、剥離などを防止するために導電塗料及び基板の熱膨脹率を適合させることが望ましいであろう。この点に関しては、Mo及びSiCは、比較的近い熱膨脹率を有する。
SiC基板は、表面純度に基づいて、〜1kΩ/cmから1000kΩ/cmというかなり高い表面抵抗率を有する。Mo背面加熱器に沿った抵抗は、1Ω/cmを下回り、従って、加熱電流は、殆ど完全にMo加熱ループを通過する。
【0054】
図8Aは、背面加熱器が、コーティング500内に制御可能な電流を発生させて集光ミラーを加熱するシステム504、例えば、1つ又はそれよりも多くの調整可能な電流供給源を含むことができることも示している。図8Aに示す堆積物背面加熱器に対して、生成熱量は、いくつかの方法で集光器面上のゾーン単位で選択的に変えることができる。例えば、コーティング厚み及び/又はコーティング幅「w」及び/又は表面被覆率(例えば、導体により覆われるゾーン内での表面の百分率)及び/又はコーティング導電率を変えて、差動加熱を確立することができる。代替的に又は上述の変化に加えて、各々が異なるパターンを有し、及び/又は各々が独立した電流供給源に接続されている複数の回路を使用することができる。
【0055】
図8Bは、モリブデンのような導電コーティング600が、各々が閉鎖電気経路を形成する6つのループから成る予め選択されたパターンで集光器基板の背面602の上へ堆積されている(例えば、上述のように)背面加熱器の構成を示している。6つのループが図8Bに示されているが、6つよりも多くの及び僅か1つのループを使用することができることは認められるものとする。図8Bに示す背面加熱器に対して、集光ミラー面を加熱するために各ループ内に渦電流を選択的に確立するシステム604を設けることができる。例えば、システム604は集光ミラーの後に位置決めされた1つ又はそれよりも多くの誘導子から成ることができる。別の設定においては、マイクロ波放熱体を使用することができる。必要に応じて、システム604は、独立して各ループ内に渦電流を確立し、従って、異なるゾーンを独立して加熱することができるように形成することができる。
【0056】
図8Bに示す堆積された背面加熱器に対して、生成熱量は、いくつかの方法で集光器面上にゾーン単位で選択的に変えることができる。例えば、コーティング厚み及び/又はコーティング幅(「w」)及び/又は表面被覆率(例えば、導体により覆われる領域内での表面の百分率)及び/又はコーティング導電率を変えて、差動加熱を確立することができる。代替的に又は上述の変化に加えて、各々が異なるパターンを有し、及び/又は各々が独立した誘電子により通電される複数のループを使用することができる。
【0057】
図8Cは、ミラー基板700の一部又は全てを導電材料702でドープすることができる別の実施形態、例えば、グラファイトでドープされたSiC基板を示している。この構造的構成で、基板は、RF又はマイクロ波放射にドープされた各部を露出することによって選択的に加熱することができる。生成熱量は、基板内のドーピングレベルを変え、及び/又は特定のゾーンに到達する放射線の強度を変えることによっていくつかの方法で集光器面上のゾーン単位で選択的に変えることができる。
【0058】
図9Aは、基板800、中間層802、及び多層ミラーコーティング804を有するミラー、例えば、近垂直入射EUV集光ミラーの断面図を示している。図9Aは、金属質の基板、例えば、Ni、Al、Ti、又はインバール、コバール、モネル、ハステロイ、ニッケル、インコネル、チタン、ニッケル亜リン酸エステルメッキ/被覆アルミニウム、又はニッケル亜リン酸エステルメッキ/被覆インバールのような材料、又はシリコン、例えば、単結晶シリコン又は多結晶シリコンのような半導体材料をダイヤモンド切削して最終光学系の全体的な外観、例えば、楕円、球形、放物線状を有し、かつ約2〜10nmの表面粗度を有する露出面を生成するEUVミラーを製造及び/又は修復する方法を示している。次に、MLM特性に影響を与える可能な表面粗度を低減するために物理蒸着技術を使用して堆積したいわゆる「平滑化」層とすることができる中間層802を堆積させる。例えば、物理蒸着技術は、イオンビームスパッタ堆積、電子線堆積、物理蒸着、マグネトロンスパッタリング、及びその組合せから成る技術の群から選択することができる。平滑化層は、非晶質材料とすることができ、及び/又はSi、C、Si34、B4C、SiC、及びCrから成る材料の群から選択することができる。平滑化材料は、高エネルギ堆積条件を使用して堆積させることができ、例えば、堆積条件には、基板加熱があり、及び/又は堆積条件には、堆積中に粒子エネルギを増大させることが含まれ、一部の場合には、平滑化層は、金属質基盤の上に重なりかつ接触することができ、かつ約3〜100nmの範囲の厚みを有することができる。図9Aは、多層ミラーコーティング804、例えば、約30〜90のMo/Si二層を有するコーティングを中間層802の上に堆積させることができることを示している。
【0059】
図9Bは、基板850、第1の中間層852、第2の中間層854、及び多層ミラーコーティング856を有するミラー、例えば、近垂直入射EUV集光ミラーの断面図を示している。図9Aは、例えば、SiC、多結晶シリコン、単結晶シリコン、Ni、Al、Tiのような材料、又はインバール、コバール、モネル、ハステロイ、ニッケル、インコネル、チタン、亜燐酸ニッケルメッキ/被覆アルミニウムのような材料で製造された基板を基板材料の成形に適する工程、例えば、ダイヤモンド旋削、研削、ラッピング、及び研磨などにより処理し、例えば、楕円、球面、放物線状の最終光学系の全体的な外観を有する露出面を生成するEUVミラーの初期製造方法を示している。
【0060】
次に、中間層852、854を堆積させ、層の一方は、いわゆる「平滑化」層であり、他方は、「ストップ」層である。「平滑化」層は、「ストップ」層の後に、又は「ストップ」層は、「平滑化」層の後に堆積させることができる。これらの層の各々は、上述のように物理蒸着法を使用して堆積させることができる。上述のように、平滑化材料は、アモルファス材料とすることができ、及び/又はシリコン膜Si、C、Si34、B4C、SiC、ZrN、Zr、及びCrから成る材料の群から選択することができ、かつ高エネルギ条件を用いて約3nmから100nmの範囲の厚みに堆積させることができる。
【0061】
2つの異なる形式の停止層を本明細書で説明する。一構成においては、比較的薄い「エッチ」停止層(例えば、1〜100nm、恐らく5〜20nm)を使用して、エッチ停止層を残しながら修復手順中にエッチングを通じてMLMコーティングを除去することを可能にすることができる。例えば、適切なエッチング技術には、化学湿式エッチング、乾式プラズマエッチング、又は反応性イオンエッチングを含むことができるがこれらに限定されない。一般的に、エッチ停止層材料は、少なくとも1つのエッチング液に対して多層ミラーコーティングと実質的に異なるエッチング感度を有するように選択される。適切なエッチ停止層には、Si、B4C、TiO2のような酸化物、ZrNのような窒化物、SiC、Zr、及びCrを含むことができるがこれらに限定されない。
【0062】
比較的肉厚の停止層(例えば、3〜20μm、恐らく5〜15μm)を使用して、エッチ停止層を残しながら修復手順中にダイヤモンド切削を通じてMLMコーティングを除去することを可能にすることができる第2の形式の停止層を本明細書で開示する。この第2の形式の停止層の適切な材料には、Si、B4C、TiO2のような酸化物、SiC、Zr、Cr、及びZrNのような窒化物を含むことができるがこれらに限定されない。
図9Bは、多層ミラーコーティング856、例えば、約30〜90のMo/Si二層を有するコーティングを中間層852、854の上に重なるように堆積させることができることも示している。
【0063】
図9Bに示すミラーの修復は、ダイヤモンド旋削又は上述のようにエッチングすることによってMLMコーティング856を除去して停止層を露出させ、次に、露出停止層上に平滑化層を堆積させ、次に、新しいMLMコーティングを堆積させることによって行うことができる。修復に対して、平滑化材料は、アモルファス材料とすることができ、及び/又はSi、C、Si34、B4C、SiC、ZrN、Zr、及びCrから成る材料の群から選択することができ、高エネルギ条件を用いて約3〜100nmの範囲の厚みに堆積させることができる。
【0064】
上述の中間層に加えて、別の層への1つの層の拡散を防止する1つ又はそれよりも多くの障壁層を設けることができ、一部の場合には、MLMコーティング除去を助けるために停止層の上に重なるクロミウム又はジルコニウムなどの剥離層を設けることができる。これらの更に別の層は、初期製造中に、恐らく修復中に堆積させることができる。例えば、障壁材料は、MoSi2、Si34、B4C、SiC、ZrN、Zr、及びCrから成る材料の群から選択することができ、基板とMLMの間のどこかに位置決めすることができる。一部の場合には、障壁層は、基板と停止層の間に、及び/又は停止層とMLMコーティングの間に設けることができる。
【0065】
図10は、基板902、多層コーティングスタック904a〜e、及び各々が1対の多層コーティングスタック904a〜eの間に挿入された停止層906a〜dを有するミラー、例えば、近垂直入射EUV集光ミラー930の断面図を示している。例えば、各多層コーティングスタック904a〜eは、各々が比較的高屈折率材料及び比較的低屈折率材料を有する約40〜200個の二層、より一般的には、80〜120個の二層を含むことができる。一構成においては、各二層は、Moの層及びSiの層を含むことができる。ミラー930に対して、各停止層906a〜dは、Si34、SiB6、SiC、C、Cr、B4C、Mo2C、SiO2、ZrB2、YB6、及びMoSi2から成る材料の群から選択された材料で形成することができる。ミラー930に対して、停止層は、一方の隣接多層コーティングスタックから他方の多層コーティングスタックまでのミラーの周期性を維持するように選択された厚みを有することができる。例えば、停止層は、そうでなければシリコン層(例えば、近垂直入射角に対しては約4nm厚)である多層スタック内の1つの層を置換するような厚みで製造することができる。一部の場合には、停止層材料は、エッチング液が多層コーティングスタック材料、例えば、Mo及びSiに対して比較的高いエッチング速度、かつ停止層に対して比較的高いエッチング速度を有するような適切なエッチング液に関連して選択することができる。エッチング液は、例えば、Cl2、HCl、CF4、及びその混合物から成る材料の群から選択することができる。
【0066】
図11は、基板952及び停止層956により分離される多層コーティングスタック954a、bを有するミラー930’、例えば、近垂直入射EUV集光ミラーを示している(注:一連の点は、必要に応じて、望ましい層数を確立するために多層スタックを繰り返すことができることを示す)。更に図示するように、各多層コーティングスタック954a、bは、層958のような複数の比較的高い屈折率層、層960のような複数の比較的低い屈折率層、及び比較的高い屈折率層を比較的低い屈折率層から分離する層962a、bのような複数の拡散障壁層を有することができる。一構成においては、各二層は、Moの層、Siの層、及び2つの拡散障壁層を含むことができる。例えば、拡散障壁層は、例えば、窒化珪素、炭素又はB4Cとすることができ、各停止層956は、Si34、SiB6、SiC、C、Cr、B4C、Mo2C、SiO2、ZrB2、YB6、及びMoSi2から成る材料の群から選択することができる。
【0067】
使用時には、ミラー930、930’は、チャンバ、例えば、図1に示すチャンバ26)内に配置され、かつEUV光放出プラズマによって生成されたEUV光を反射するのに使用することができる。上述のように、プラズマは、露出面、より具体的には、表面に最も近い多層コーティングスタックに到達してそれを劣化させる可能性がある活性イオンを含むデブリを発生させる場合がある。殆どの場合、劣化は、均一な摩耗/除去をもたらさないであろう。代わりに、多層コーティングスタックは、不均一に除去される恐れもあり、従って、ミラーの帯域内の反射率を低減する可能性がある表面粗度になる。一部の場合には、テラス及びメサが作り出されると考えられる。
【0068】
所定量のコーティングスタック除去、及び/又はミラー表面粗度の所定の増加、及び/又はEUV帯域内反射率の所定の減少が発生した状態で、表面に最も近い多層コーティングスタックの残りの部分は、多層コーティングスタック材料、例えば、Mo及びSiに対しては比較的高いエッチング速度、停止層に対しては比較的高いエッチング速度を有するエッチング液を使用してエッチングにより除去することができる。表面に最も近い多層コーティングスタックの残りの部分が除去された状態で、エッチングは、再び次の多層コーティングスタックをエッチングする必要がある時まで中断することができ、光源内のミラー930、930’の連続した使用を行うことができ、停止層は、キャップ層として作用する。
【0069】
一部の場合には、エッチングは、例えば、ミラー930、930がチャンバ内に位置決めされた状態で原位置で行うことができ、一部の場合には、エッチング液は、EUV放射中にチャンバに導入することができる。代替的に、エッチングは、計画保守の期間中、及び/又はチャンバ内に位置決めされたミラー930、930’をチャンバから除去した後に行うことができる。上述のように、エッチング液は、例えば、Cl2、HCl、CF4、及びその混合物から成る材料の群から選択することができる。
【0070】
「35U.S.C.§112」を満足するために必要とされる詳細において本特許出願において説明しかつ例示した特定の実施形態は、上述の実施形態の1つ又はそれよりも多くの上述の目的を、及び上述の実施形態により又はその目的のあらゆる他の理由で又はその目的のために解決すべき問題を完全に達成することができるが、上述の実施形態は、本出願によって広く考察された内容を単に例示しかつ代表することは、当業者によって理解されるものとする。単数形での以下の請求項における要素への言及は、解釈において、明示的に説明していない限り、このような要素が「1つ及び1つのみ」であることを意味するように意図しておらず、かつ意味しないものとし、「1つ又はそれよりも多い」を意味する意図とし、かつ意味するものとする。当業者に公知か又は後で公知になる上述の実施形態の要素のいずれかに対する全ての構造的及び機能的均等物は、引用により本明細書に明示的に組み込まれると共に、特許請求の範囲によって包含されるように意図されている。本明細書及び/又は本出願の請求項に使用され、かつ本明細書及び/又は本出願の請求項に明示的に意味を与えられたあらゆる用語は、このような用語に関するあらゆる辞書上の意味又は他の一般的に使用される意味によらず、その意味を有するものとする。実施形態として本明細書で説明した装置又は方法は、それが特許請求の範囲によって包含されるように本出願において説明した各及び全て問題に対処又は解決することを意図しておらず、また必要でもない。本発明の開示内容におけるいかなる要素、構成要素、又は方法段階も、その要素、構成要素、又は方法段階が特許請求の範囲において明示的に詳細に説明されているか否かに関係なく、一般大衆に捧げられることを意図したものではない。特許請求の範囲におけるいかなる請求項の要素も、その要素が「〜のための手段」という語句を使用して明示的に列挙されるか又は方法の請求項の場合にはその要素が「行為」ではなく「段階」として列挙されていない限り、「35U.S.C.§112」第6項の規定に基づいて解釈されないものとする。
【符号の説明】
【0071】
20 レーザ生成プラズマEUV光源
22 システム、装置
26 チャンバ
28 楕円面ミラーの焦点

【特許請求の範囲】
【請求項1】
光学的劣化の程度を判断するためにEUVミラーを原位置モニタリングする方法であって、
EUVミラーがフォトリソグラフィ器具における作動位置にある間に、EUVスペクトル外の波長を有する光で該ミラーの少なくとも一部分を照射する行為と、
前記光が前記ミラーから反射した後に該光の少なくとも一部分を測定する行為と、
前記測定とミラー劣化及び光反射率の間の所定の関係とを用いて多層ミラー劣化の程度を推定する行為と、
を含むことを特徴とする方法。
【請求項2】
EUV放射線の特性を測定するための測定装置であって、
検出要素と、
窒化珪素を含むフィルタと、
を含むことを特徴とする装置。
【請求項3】
EUV光の角度強度分布を測定するための測定装置であって、
蛍光変換器と、
ウランを含むフィルタと、
を含むことを特徴とする装置。
【請求項4】
EUV放射線の特性を測定するための測定装置であって、
検出要素と、
各層が比較的低屈折率材料及び比較的高屈折率材料を有する複数の二層を有する透過多層コーティングを含むフィルタと、
を含むことを特徴とする装置。
【請求項5】
EUV放射線の特性を測定するための測定装置であって、
検出要素と。
ウラン及びRUを含むフィルタと、
を含むことを特徴とする装置。
【請求項6】
多層近垂直入射反射コーティングで覆われた第1の側面及び反対の側面を有するEUV光源集光ミラーからプラズマ形成によって発生したデブリを除去するための装置であって、
反対の側面の少なくとも一部の上に重なるように堆積された導電コーティングと、
前記コーティング内に電流を発生させて集光ミラーを加熱するシステムと、
を含むことを特徴とする装置。
【請求項7】
前記コーティングは、前記集光ミラーの第1のゾーンを第1の温度T1に加熱して該第1のゾーンからデブリを除去し、かつT1≠T2によって該集光ミラーの第2のゾーンを第2の温度T2に加熱して該第2のゾーンからデブリを除去するように構成されることを特徴とする請求項6に記載の装置。
【請求項8】
前記第1のゾーンは、前記第2のゾーンとは異なる面積によるコーティング被覆率を有することを特徴とする請求項7に記載の装置。
【請求項9】
前記第1のゾーンは、前記第2のゾーンとは異なるコーティング厚みを有することを特徴とする請求項7に記載の装置。
【請求項10】
前記第1のゾーンは、前記第2のゾーンとは異なるコーティング導電率を有することを特徴とする請求項7に記載の装置。
【請求項11】
前記システムは、電磁放射線を発生させるように構成され、該システムは、電磁気放射電力P1を前記集光ミラーの前記第1のゾーンに、かつP1≠P2によって電磁気放射電力P2を該集光ミラーの前記第2のゾーンに送出することを特徴とする請求項7に記載の装置。
【請求項12】
前記導電コーティングは、蒸着コーティング、火炎溶射コーティング、電気メッキコーティング、及びその組合せから成るコーティングの群から選択されることを特徴とする請求項7に記載の装置。
【請求項13】
プラズマ形成によって発生したデブリに露出された表面を有するEUV集光ミラー装置であって、
導電材料でドープされた材料で作られ、多層近垂直入射反射コーティングで覆われた基板と、
前記基板内に電流を発生させて集光ミラーを加熱するシステムと、
を含むことを特徴とする装置。
【請求項14】
前記コーティングは、前記集光ミラーの第1のゾーンを第1の温度T1に加熱して該第1のゾーンからデブリを除去し、かつT1≠T2によって該集光ミラーの第2のゾーンを第2の温度T2に加熱して該第2のゾーンからデブリを除去するように構成されることを特徴とする請求項13に記載の装置。
【請求項15】
前記第1のゾーンは、前記第2のゾーンとは異なる面積によるコーティング被覆率を有することを特徴とする請求項14に記載の装置。
【請求項16】
前記システムは、電磁放射線を発生させるように構成され、該システムは、電磁気放射電力P1を前記集光ミラーの前記第1のゾーンに、かつP1≠P2によって電磁気放射電力P2を該集光ミラーの前記第2のゾーンに送出することを特徴とする請求項14に記載の装置。
【請求項17】
近垂直入射EUVミラーを調製する方法であって、
基板を準備する行為と、
前記基板の表面をダイヤモンド切削する行為と、
物理蒸着を用いて前記表面の上に重なる少なくとも1つの中間材料を堆積させる行為と、
前記中間材料の上に重なる多層ミラーコーティングを堆積させる行為と、
を含むことを特徴とする方法。
【請求項18】
前記基板は、多結晶シリコンを含むことを特徴とする請求項17に記載の光学系。
【請求項19】
近垂直入射EUVミラーを修復する方法であって、
基板と、該基板の上に重なる少なくとも1つの中間材料と、該中間材料の上に重なる多層ミラーコーティングとを有するEUVミラーを準備する行為と、
前記多層ミラーコーティングを除去して露出面を生成する行為と、その後に、
前記露出面を化学研磨する行為と、
平滑化材料を堆積させる行為と、
前記平滑化材料の上に重なる多層ミラーコーティングを堆積させる行為と、
を含むことを特徴とする方法。
【請求項20】
EUV光を生成する方法であって、
基板と、第1の多層コーティングスタックと、該第1の多層コーティングスタックの上に重なる停止層と、該停止層の上に重なる第2の多層コーティングスタックとを有するEUVミラーを準備する行為と、
前記ミラーを使用して、前記第2の多層コーティングスタックを劣化させるデブリを発生させるEUV光放出プラズマによって生成されたEUV光を反射させる行為と、その後に、
前記ミラーをエッチングして前記停止層の少なくとも一部分を露出させる行為と、その後に、
前記ミラーを使用して、EUV光放出プラズマによって生成されたEUV光を反射させる行為と、
を含むことを特徴とする方法。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7A】
image rotate

【図7B】
image rotate

【図7C】
image rotate

【図7D】
image rotate

【図7E】
image rotate

【図8A】
image rotate

【図8B】
image rotate

【図8C】
image rotate

【図9A】
image rotate

【図9B】
image rotate

【図10】
image rotate

【図11】
image rotate


【公表番号】特表2011−515650(P2011−515650A)
【公表日】平成23年5月19日(2011.5.19)
【国際特許分類】
【出願番号】特願2010−539427(P2010−539427)
【出願日】平成20年12月5日(2008.12.5)
【国際出願番号】PCT/US2008/013416
【国際公開番号】WO2009/085094
【国際公開日】平成21年7月9日(2009.7.9)
【出願人】(504010648)サイマー インコーポレイテッド (115)
【Fターム(参考)】