説明

エロージョンにさらされる可能性のある試料の金属部分のピコ秒超音波を用いた特性化

製造プロセスを評価するための方法を記載する。該方法は、光学ポンプビームパルスを生成することと、該光学ポンプビームパルスを試料の表面に向けることと、を含む。プローブパルスを生成し、該プローブパルスを該試料の該表面に向ける。プローブパルス応答信号を検出する。音響信号に応じて変化する該プローブパルスの変化は、プローブパルス応答信号を形成する。該試料を作るために使用される、1つ以上の製造プロセスステップの評価は、該プローブパルス応答信号に基づいて行われる。さらに、該方法は、CMPプロセスのプロセス制御に使用されてもよい。また、装置も記載される。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、概して、半導体材料の測定に関し、より具体的には、金属線配列および層の測定に関する。
【背景】
【0002】
化学機械平坦化(CMP)は、例えば、銅相互接続を有する集積回路(IC)上に、高性能かつ高歩留まりの相互接続構造を作るために重要なプロセスである。
【0003】
CMP研磨速度は、電気めっきプロファイル、スラリーの化学的性質、パッドの磨耗、層間絶縁材料、およびパターン密度を含む、多くの可変要素に依存する。これらの影響は、CMPディッシングおよびエロージョンが、相互接続構造の抵抗に著しい影響を与え得る、先端技術ノードでより顕著になる。
【0004】
線構造の研磨が不十分な場合、残留銅または障壁は、回路をショートさせ、欠陥ダイをもたらす。しかしながら、過度の研磨は、線抵抗を増加し、チップの速度および性能の両方に悪影響を及ぼす。
【0005】
特定の相互接続抵抗を維持するために、接着パッドおよび線配列構造の両方の銅厚さを監視することが重要である。これらの厚さは、ウエハ全体を通して、およびウエハ間で大幅に異なるため、高生産性のインライン計測技術が望ましい。
【0006】
したがって、高歩留まりを維持するために、銅線を所望の厚さで維持することが重要である。これは、厳密なプロセス制御を要求する。
【0007】
CMPプロセスを監視するために、走査電子顕微鏡(SEM)、および絶縁膜研磨を測定する光学技術、ならびに層間絶縁パッド、銅パッド、および線配列等の構造間の相対高差を測定することができる高解像度表面形状測定装置を含む、いくつかの計測技術が使用される。
【0008】
それでもなお、銅等の金属から作られる線配列および層を測定する場合、そのような計測技術は、改善することができる。
【概要】
【0009】
本発明に係る、例示的な実施形態は、製造プロセスを評価するための方法である。該方法は、光学ポンプビームパルスを生成することと、該光学ポンプビームパルスを試料の表面に向けることと、を含む。プローブパルスを生成し、該プローブパルスを試料の表面に向ける。プローブパルス応答信号を検出する。音響信号に応じて変化するプローブパルスの変化が、プローブパルス応答信号を形成する。試料を作るために使用される、プローブパルス応答信号に基づいて、1つ以上の製造プロセスステップの評価情報が形成される。
【0010】
本発明に係る、別の例示的な実施形態は、製造プロセスを評価するための装置である。該装置は、光学ポンプビームパルスを生成し、該光学ポンプビームパルスを試料の表面に向け、音響信号を生成し、プローブパルスを生成し、該プローブパルスを試料の表面に向けるように構成される、光源を含む。プローブパルス応答信号を検出するために、検出器を備える。プローブパルス応答信号は、音響信号に応じて変化するプローブパルスの変化に基づいて形成される。また、プローブパルス応答信号に基づいて、試料を作るために使用される、1つ以上の製造プロセスステップの評価情報を形成することができる処理ユニットも備えられる。
【0011】
本発明に係る、さらなる例示的な実施形態は、製造プロセスを評価するための装置である。該装置は、光学ポンプビームパルスを生成し、該光学ポンプビームパルスを試料の表面に向けるための第1の手段を含む。プローブパルスを生成し、該プローブパルスを試料の表面に向けるための第2の手段が含まれる。該装置は、プローブパルス応答信号を検出するための手段を含む。音響信号に応じて変化するプローブパルスの変化が、プローブパルス応答信号を形成する。プローブパルス応答信号に基づき、試料を作るために使用される、少なくとも1つの製造プロセスステップの評価情報を形成するための評価手段が含まれる。
【図面の簡単な説明】
【0012】
本発明の実施形態の前述および他の態様は、次の発明を実施するための形態が添付の図面と併せて読まれる場合に、より明らかとなる。
【0013】
【図1】銅CMPプロセスの実施例である。
【0014】
【図2】ディッシングおよびエロージョンの実施例である。
【0015】
【図3】本発明の例示的な実施形態とともに使用するためのピコ秒超音波システムの簡易ダイアグラムである。
【0016】
【図4】PD1およびPD2が、例示的な実施形態の図3のシステムで使用される、2つの光検出器である、PSD検出器の図解である。
【0017】
【図5】2400Åの銅膜からの被測定信号の例に対応するグラフを示し、ここで膜厚さは、エコー位置を使用して測定され、図中、100ps(ピコ秒)で膜を通る第1の往復、および200psで第2の往復が確認される。
【0018】
【図6A】Cu線配列シートのピコ秒超音波信号の結果を示す。
【図6B】Cu全面シートのピコ秒超音波信号の結果を示す。
【図6C】図6Aに対応するSEMによる断面を示す。
【図6D】図6Bに対応するSEMによる断面を示す。
【0019】
【図7】パッドおよびCu線上のSEM厚さとPULSE厚さとの間の相関関係のグラフを示す。
【0020】
【図8】Cu全面シートおよび線配列ボックス上のX軸に沿った、厚さプロファイルのグラフを示す。
【0021】
【図9】7つのダイにわたる、ピコ秒超音波および高解像度表面形状測定装置によって測定される走査率(%)の、静的または動的モードのいずれかにおける、線を通る最大変化量のグラフを示す。
【0022】
【図10】SEMによる積層体組成を示す:(左)Cuパッドの内側、(右)Cuパッドの外側。
【0023】
【図11】ウエハ1からウエハ25でCMP圧力が意図的に上昇された、全面シートおよび線配列のCu厚さのグラフを示す。
【0024】
【図12A】および
【図12B】ECP(電気めっきされた)および部分的に研磨された構造(線幅100μm、密度50パーセント)の概略図を示し、図中Xは、測定点を示す。
【0025】
【図12C】および
【図12D】それぞれ、図12Aおよび図12Bに対応する厚さプロファイルを示す。
【0026】
【図13A】および
【図13B】ECP後、および部分的に研磨された線構造(線幅0.25μm、密度50パーセント)の概略図を示し、図中Xは、測定点を示す。
【0027】
【図13C】および
【図13D】それぞれ、図13Aおよび図13Bに対応する、ECP後、および部分的に研磨されたCu厚さプロファイルを示す。
【0028】
【図14A】CMP前線配列測定による生データを示し、図中、エコー1は、オーバーバーデン厚さに対応し、エコー2は、合計厚さ(線配列+オーバーバーデン)に対応する。
【0029】
【図14B】図14Aに対応し、電気めっきし、オーバーバーデンおよび合計厚さを示した後のサブミクロン線構造の断面の概略図を示す。
【0030】
【図15A】CMP前のピコ秒超音波厚さ対SEM厚さの相関関係を示すグラフであり、図中、合計厚さ(線配列+オーバーバーデン)が示され、相関関係は、R=0.99と優れている。
【0031】
【図15B】CMP後の線配列厚さを示すグラフであり、また、このグラフは、2つの技術間の優れた相関関係も示す。
【0032】
【図16】異なるダイ配置を有する製品Aおよび製品B上のパターン依存性CMPの影響を図示するグラフであり、製品B(L)の線配列は、時間T1の研磨後、大幅なオーバーバーデンを示し、オーバーバーデン厚さを減少させるために、追加研磨を必要とし、接着パッドは、線配列より大幅に速い速度で同一研磨時間、研磨される。
【0033】
【図17A】銅接着パッドにわたる高解像度(5μmステップ)線走査測定結果を図示するグラフであり、これは、構造にわたるディッシングを明示し、銅厚さは、形状の中心で約900Åである。
【0034】
【図17B】サブミクロン線配列にわたる高解像度線走査測定結果を示すグラフであり、平均銅厚さは、約1800Åである。
【0035】
【図18A】ウエハの平均厚さが980Åである、ウエハ内の接着パッドの均一性プロファイルである。
【0036】
【図18B】ウエハの平均厚さが1800Åである、ウエハ内の線配列の均一性プロファイルである。
【0037】
【図19】図19Aおよび図19Bを含み、ウエハ上で測定された60個のダイのピコ秒超音波厚さ対電気試験データが、優れた相関関係を示すことを図示し、図19Aは、接着パッドが0.90の相関関係(R)であるという結果を示し、図19Bは、線配列が0.95の相関関係であるという結果を示す。
【0038】
【図20】線配列において、TEM測定結果との優れた相関関係を示す、ピコ秒超音波測定結果を図示するグラフである。
【0039】
【図21A】ウエハ中心(1400Å)と比較して、端部ダイ厚さが約800Åである、過度に研磨されたウエハのウエハ内均一性プロファイルを図示する。
【0040】
【図21B】中心ダイ(1400Å)と端部ダイ(1100Å)との間の変化量が改善された、研磨圧力を最適化した後のウエハ内均一性プロファイルを図示する。
【0041】
【図22】端部除外が7mmに相当する、M6製品ウエハ全体にわたるY直径のグラフを示す。
【0042】
【図23】製造プロセスを評価するための方法の簡易化フローチャートを図示する。
【例示的実施形態の詳細な説明】
【0043】
上述されるように、化学機械研磨(CMP)は、例えば、銅相互接続を有する、高性能かつ高歩留まり集積回路(IC)を生産するために、重要なプロセスステップである。CMPプロセスを監視するために、絶縁膜研磨を測定する光学技術、ならびに層間絶縁信号パッド、銅パッド、および線配列等の構造間の相対高差を測定することができる高解像度表面形状測定装置を含む、いくつかの計測技術が使用される。
【0044】
対照的に、ピコ秒超音波方法は、銅厚さを測定し、したがってCMPプロセス監視の関心パラメータ(例えば、特性)を直接測定する。ピコ秒超音波技術は、パッド等の固体銅構造上での測定において定評がある。しかしながら、現行および次世代デバイスに必要な密集した狭い線配列においては、直径がおよそ10μmしかないとはいえ、測定スポットサイズが数百の銅線/絶縁膜対を覆い得る。したがって、例示的な実施形態においては、絶縁信号に対して鈍感である、新しい検出装置および方法が開発された。ピコ秒超音波の、銅パッドおよび0.6μm線配列の両方上で測定する能力を試験した。結果を、走査電子顕微鏡(SEM)と比較した。試料には、異なる製品、および6つの異なる金属層が含まれる。ピコ秒超音波システムおよび方法が本明細書に記載され、様々な試料の詳細な結果が提示される。
【0045】
ピコ秒超音波計測技術は、90nm技術ノードでのCMP監視に導入されており、65nmプロセスに適格とされている。ピコ秒超音波は、例えば、超高速レーザー光パルスを使用して、試験エリア上の最上層膜内に音波を生成する、非接触、非破壊的技術である。この音波は、界面と接触するまで構造の中へ進む。界面で、エコーが生成される。次いで該エコーは、表面に戻り、そこで検出される。したがって、膜の特性(例えば、厚さ)は、膜を通るエコーの一方向トリップ時間と材料内の音の速度を乗算することによって測定することができる。この技術は、CMP試験構造として使用されるサブミクロン線配列構造を含む、様々な金属膜を特性化するために、幅広く使用されている。ピコ秒超音波技術、および例えば、CMPプロセスの特性化へのそれらの適用を本明細書に記載する。
【0046】
参照を容易にするために、本記述は、数多くの異なるセクションに分かれている。
【0047】
I.序論
【0048】
半導体デバイスの形状が縮小し続けるにつれて、トランジスタ切り替え速度は大幅に上昇した。一方、高密度集積化に対する需要は、相互接続寸法の減少、および金属層の数の増加を促進し、結果として相互接続抵抗ならびに寄生容量の増加をもたらす。例えば、L. He, A. B. Kahng, K. H. Tarn, and J. Xiong, "Variability−Driven Considerations in the Design of Integrated−Circuit Global Interconnects", Proc 21th VMIC, 214−221, September, 2004を参照されたい。相互接続抵抗を減少させるために、Cu相互接続が導入された。例えば、R. H. Havemann and J. A. Hutchby, "High Performance Interconnects:An Integration Overview", Proceedings of the IEEE, Vol. 89, No. 5, May 2001を参照されたい。
【0049】
通常、Cu相互接続は、デュアルダマシン手段を使用して製造される。アルミニウムが層間絶縁膜(ILD)の上に成膜され、パターン形成され、エッチングされる、従来のアルミニウムの金属化とは異なり、銅はエッチングすることがより困難であるため、銅金属化は、ダマシンプロセスを必要とする。ダマシンプロセスにおいては、ILDは、最初に成膜およびパターン形成され、金属線が位置するトレンチを画定する。次いで金属を成膜して、パターン形成された酸化物トレンチを充填し、CMPを用いて研磨して、所望の線の外側の余分な金属を除去する。CMPを適切に行うことは、線製造の後端を成功させるための主要な要素である。
【0050】
最適な銅除去プロセスは、バルク除去ステップと洗浄ステップとの間の適切なバランスを必要とする。目的は、過度に研磨されたウエハまたはくずウエハをもたらす終端不具合を排除し、より厳密なプロセス制御を有して、歩留まり損失を制限することである。
【0051】
通常、銅ダマシン構造研磨は、3つの異なるプラテン(図1を参照)上で実施される3つのステップの手段によって達成される。ステップ1は、バルク銅除去であり、ステップ2は、多くの場合、「ソフトランディング」と称されるデバイス洗浄であり、ステップ3は、障壁除去および酸化物バフ研磨である。良好なデバイス機能性を得るために、いずれかの構造が過度に研磨される、または研磨不十分になることを避けることが不可欠である。
【0052】
Cu CMP作業内で、デバイス洗浄(図1に示されるステップ2)は、好ましくは、設計が相互接続と呼び得るものは、いかなる銅残留物も残らないように実施され、一方、最小限のトポグラフィが生成されるべきであることから、困難なステップである。理想的には、研磨された銅は、完全に平坦である。あいにく、銅線は、CMPによるディッシングおよびエロージョンを受ける。図2を参照のこと。
【0053】
ディッシングは、隣接する酸化物と比較して、銅線の陥凹した高さとして定義される。エロージョンは、元の酸化物の高さと研磨後の酸化物の高さとの間の差として定義される。Cu厚さ損失は、フィールド酸化物損失、局所酸化物エロージョン、および銅ディッシングの合計である。
【0054】
重要な要素は、CMP後の銅および酸化物厚さの精密制御を維持することである。
【0055】
世界規模のCMP計測技術が、プロセスをエンドポインティングするのに有用である一方、これらは、最終的なチップ性能に大きな影響を与え得る、ナノトポグラフィのばらつきの特性化を提供できない。例えば、T. Park, et al., "Overview of Methods for Characterization of Pattern Dependencies in Copper CMP", Proc. CMPMIC, pp. 196−205, March 2000を参照されたい。表面形状測定は、その後のプロセスステップのトポグラフィおよび平面性を判断できるが、銅線厚さを直接測定することができず、したがって、初期のトレンチ深さまたはフィールドエリアのエロージョンが予期されるとおりでない場合に、表面形状測定は、不正確な結果を提供し得る。電気試験は、最終的なデバイス性能との優れた相関関係を提供するが、比較的低速であり、一般にオフラインで使用される。この作業は、例えば、ピコ秒超音波の使用が、金属レベル(ML)1〜6の65nmデバイス上で使用される、充填度が50パーセントの銅の金属線配列のエロージョンの特性化することを立証する。
【0056】
高速デバイススケーリングは、より高速かつより低電力消費の集積回路(IC)を生産している、半導体産業の成長を支配するもっとも重要な要素となっている。抵抗−コンデンサ(RC)遅延を最小化するために、デバイス製造業者は、アルミニウム相互接続の使用から銅相互接続の使用へ、および酸化物の使用から低誘電率材料の使用へ移行した。IC製造におけるこれらの進展は、最大10億個トランジスタ/cmのデバイス密度の増加をもたらし、したがって、対応する回路機能性の向上は、必要なデバイス通信を促進するために、金属相互接続の多数の層を必要とする。金属、およびこれらの複雑な相互接続レベルを絶縁するために使用される絶縁層を、効果的かつ効率的に平坦化する能力は、これらのデバイスの性能を実現するために、非常に重要である。
【0057】
化学機械研磨(CMP)は、銅ダマシン構造において平坦化を達成するためにもっとも広く使用される方法となった。CMPは、高性能、高歩留まりデバイスを生産するための重要なプロセスステップのうちの1つとなった。
【0058】
銅CMPの主要指標は、ディッシングおよびエロージョントポグラフィ、研磨後検出性、集積能力、および費用対効果である。例えば、C. L. Borst, S. M. Smith and M. Eissa, "Challenges and Rewards of Low−abrasive copper CMP: Evaluation and Integration for Single Damascene Cu/Low−k Interconnects for the 90nm Node," MRS Symposium Proceedings, pp 3−14, April 2004を参照されたい。大幅な銅ディッシング/エロージョンは、線の銅損失をもたらし、シート抵抗の増加および望ましくないトポグラフィという結果に至る。ディッシングによって生じる金属厚さ損失は、金属線幅との強い相関関係を呈する。例えば、接着パッドおよび広幅線は、サブミクロン線配列構造より多くのディッシングを示す。結果として、ダイ配置により、ウエハにわたる銅厚さ分布は、変化に富む可能性がある。
【0059】
また、ディッシングによる銅損失は、続くフォトリソグラフィステップの焦点マージンの深さに直接影響する。限界寸法が縮小するにつれて、フォトリソグラフィツールは、焦点深度を継続的に減少させる。デバイスは、所与の相互接続確立のための続く5〜15のリソステップを使用してもよく、したがって、改善されたトポグラフィ焦点マージンおよびパターン形成は、ますます重要であり、Cu CMPプロセスに厳しい要求を課す。C. L. Borst et alを参照されたい。
【0060】
製品ウエハ上の平面性を達成することは、電気めっきおよびCMPプロセスの両方が、パターンに強く依存するため、困難である。銅めっきの速度は、線幅、線間の間隔、および5〜10μm以内の他の構造との相互作用に影響を受ける。例えば、T. Park, T. Tubgawa, and D. Boning, "Pattern Dependent Modeling of Electroplated Copper Profiles", International Interconnect Technology Conference, pp. 274−276, June 2001を参照されたい。
【0061】
したがって、銅オーバーバーデンは、電極配置および電解質分布に起因する、不均一のトポグラフィ、ならびにより大きな規模の不均一性を呈し得る。次いでCMPプロセスは、非平担表面から開始し、有効パターン密度に反比例する速度での研磨に進む。例えば、D. Boning, B. Lee, T. Tubawa, and T. Park, "Models for Pattern Dependencies: Capturing Effects in Oxide, STI, and Copper CMP", Semicon/West Technical Symposium: CMP Technology for ULSI Manufacturing, July 17, 2001を参照されたい。
【0062】
いったん障壁が取り除かれると、パターン密度が50〜200μmの範囲にわたり変化する場合、研磨速度の明白な差異を観測することができ、短距離依存性は、個々の線またはスペーサの研磨速度に影響し得る。例えば、T. Park. et. al, "Overview of Methods for Characterization of Pattern Dependencies in Copper CMP", Proc. CMP−MIC, pp. 196−205, March 2000を参照されたい。パターン依存性効果に加え、研磨速度は、パッドの磨耗、研磨ヘッド圧力、およびスラリー変化を含む要素に影響を受ける。
【0063】
場合によっては、自動プロセス制御(APC)を使用して、入ってくるウエハのばらつきを補正するフィードフォワード型と、CMPのばらつきを補正するフィードバックワード型とを組み合わせることが可能である。APCの実施例は、米国特許第7,083,495号、「Advanced Process Control Approach for Copper Interconnect Wiring Sheet Resistance Control」に示される。第1のウエハの銅厚さの標的を設定することができ、該ウエハのCMP後測定結果は、後続のウエハの研磨速度を修正するために使用することができる。
【0064】
これらの課題にも関わらず、基本的な電気めっきおよびCMPプロセスは、少なくとも、32nm技術ノードを通して使用されると予測される。例えば、M. Hsieh, J. Yeh, M. Tasi, K. Wang, J. Tan, S. Leary, "In−line monitoring of advanced copper chemical mechanical planarization processes with picosecond ultrasonic metrology," Proc. SPIE Vol 6152, 61522C, Metrology, Inspection and Process Control for Microlithography, March 2006、およびJ. Dukovic, "Copper electroplating, CMP challenges grow more complex at 65−nm node and below", MICRO, Volume 23, Number 6 (Oct/Nov 2005)を参照されたい。
【0065】
しかしながら、65nm技術ノードへの移行は、いくつかのさらなる複雑性を追加した。線幅が縮小するとともに、横方向の線寸法が伝導電子の平均自由行路の範囲であるため、銅の抵抗が増加し始める。例えば、W. Steinhogl, G. Schindler, G. Steinlesberger, and M. Engelhardt, "Size−dependent resistivity of metallic wires in the mesoscopic range", Physical Review B, 66, 075414 (2002)を参照されたい。この影響は、線高さを増加することによって軽減することができ(例えば、W. Zhang, et. al., "Impact of line height on copper resistivity and interconnect RC delays: a geometry approach to reduce the size effect", Advanced Metallization Conference, Sept. 2005を参照されたい)、これは、ディッシングおよびエロージョンを最小限にすることによって達成することができる。これらの懸念に対処するために、抗ディッシングおよび抗エロージョンスラリーが開発された。
【0066】
第2の大きな課題は、SiO2、FSG、またはさらには90nmノードで広く使用される第1世代SiCOH材料と比較して、比較的機械的に弱い、低誘電率および超低誘電率絶縁材料の使用の増加である。これらの材料は、異なる研磨速度を有し、層間剥離、剥がれ、および亀裂を含む、様々な集積化問題を呈する。例えば、G. Grover, "Copper CMP Faces it Share of Challenges for the Future", Semiconductor Magazine, May 2001を参照されたい。
【0067】
45nm以下の未来の技術ノードにおいては、プロセス仕様はより厳しくなりつつあり、また、ウエハ内の線幅および線高さのばらつきを最小化する必要性も増加している。したがって、ダイレベルおよびウエハレベルの両方で銅厚さを監視する必要は、最終的なチップ性能にとって重要となる。
【0068】
表面形状測定等の技術は、その後のプロセスステップの表面トポグラフィおよび平面性を測定するのに有用であるが、それらが銅線厚さの直接測定結果を提供しないため、不十分である。また、表面形状測定技術は、開放フィールドエリアの初期のトレンチ深さまたはエロージョンが予想外に変化する場合、不正確な結果を提供し得る。電気試験は、最終的なデバイス性能との優れた相関関係を提供するが、低速であり、一般にオフラインで使用される。
【0069】
光学限界寸法(OCD)測定が、65nm以下の技術ノードのトレンチ深さおよび幅の両方を測定するために推進されてきた。しかしながら、この技術の45nmノードへの拡張性は、重大な課題を課す。低プロセスノードでは、限界寸法は、現行の最小測定波長より大幅に小さくなり、同時に、本方法の実用性を制限し得る線厚さも減少されることが予測される。例えば、F. L. Terry Jr., "Accuracy Limitations in Specular−Mode Optical Topography Extraction," Metrology, Inspection, and Process Control for Microlithography XVII, Proceedings of SPIE, Vol 5038 (2003) 547−558を参照されたい。
【0070】
ピコ秒超音波系計測法は、130nmから65nm技術ノードまでの銅プロセス監視にうまく適応された。ピコ秒超音波は、例えば、C. Morath, et. al., "Ultrasonic multi−layer metal film metrology", Solid State Technology, June 1997に記載される。また、本技術の45nmノードへの拡張性も実証されている。本明細書において、プロセス監視(ダイレベルおよびウエハレベルの両方の均一性)のための実施例を提供することによって、エクスカーション検出に加えて、本技術のCu電気めっきおよびCMPエリアへの使用を記載する。この実績のある技術は、高速かつゲージを利用可能な測定を提供し、生産価値のある処理量でウエハ内、およびウエハ間の測定を可能にする。
【0071】
II.例示的な材料および方法
【0072】
A.試料
【0073】
試料は、特定の製品要求に従って処理された8インチのウエハを含む。調査の標的エリアは、次の記載されるとおりである。Cu全面シート(100×70μm)、金属密度50%(100×70μm)で、幅が0.6μmのCu線の配列、および金属密度66%で、幅が10μmのCu線の配列、。
【0074】
B.ピコ秒超音波検出
【0075】
ピコ秒超音波レーザーソナー(Laser Sonar)(PULSE、商標、Rudolph Technologies, Inc.)計測法は、レーザー光を使用して、不透明膜の厚さおよび他の特性を測定する、非接触、非破壊的技術である。ピコ秒超音波は、レーザー光を使用して、金属薄膜の厚さおよび他の物理的性質を測定する、非接触、非破壊的技術である。ピコ秒超音波は、例えば、C. Thomsen, H.T. Grahn, H. J. Maris, and J. Tauc, "Surface generation and detection of phonon by picosecond light pulse", Physical Review B, Vol 34, No. 6, pp. 4129−4138、1986に記載される。
【0076】
図3の、本発明の例示的な実施形態とともに使用するためのピコ秒超音波システム300の簡易ダイアグラムを参照されたい。ピコ秒超音波技術は、0.1ps(ピコ秒)レーザー閃光を使用して、試料の表面上の小さな領域(5μm×7μm、平方マイクロメートル)の温度を上昇させる(通常、摂氏5〜10度、℃)。例えば、C. Thomsen et al., "Surface generation and detection of phonon by picosecond light pulse", Physical Review B, Vol. 34, No. 6, pp. 4129−4138、1986を参照されたい。不透明表面層は、ポンプパルス330からのエネルギーを吸収し、音速で多重積層体を通って下方向に進む、縦ひずみパルス(例えば、音波)を放つ。遭遇したいずれかの界面によって反射して戻されるひずみは、例えば、屈折率に局所的な変化をもたらす。
【0077】
この変化は、ビームスプリッタ320によってポンプパルス330(非制限的な本実施例においては、パルスレーザー310によって作り出されが、また、第2のレーザーを使用してプローブパルスを作り出すことも可能である)からそれたプローブパルス335によって検出される。遅延段階、例えば、サーボ遅延315は、ポンプパルス330とプローブパルス335との間の時間を変化させ、ある期間にわたって生じる変化を検出できるようにする。ポンプパルス330およびプローブパルス335は、レンズ325を通して、ウエハ340に向けられてもよい。プローブパルス335は、膜と相互作用してプローブパルス応答信号337となり、これは、検出器350、例えば、フォトセルで検出される。
【0078】
次いで、例えば、膜を通る一方向トリップの伝送時間を材料内の音速で乗算することによって、ウエハ340上の膜の特性、例えば厚さが測定される。プロセッサ360は、検出器350からの情報を使用して、膜の特性を判断するように構成される。例示的な実施形態においては、また、プロセッサ360は、CMPプロセス、およびCMPプロセスを制御するためにプロセッサ360からの情報を使用する、制御システム380に連結されてもよい。
【0079】
方法をサブミクロン範囲に拡張するために、第2の検出方法が導入された。エコー戻りは、試験部位の表面でわずかな移動を生じる。次いで、この移動は、プローブパルスビーム335を偏向させ、このプローブパルス応答信号337は、検出器で受信される(図4)。本方法の主な利点は、銅線を包囲するILDからの信号に対して、比較的鈍感なことである。
【0080】
測定は、サブミクロン範囲で銅線を測定するための位置敏感型検出器(Position Sensitive Detector:PSD)(図4を参照)を備える、MetaPULSE−IIシステム(Rudolph Technologies, Inc)(図3を参照)によって実施されてもよい。図4は、非制限的な実施例として、2つの別個のセンサを示すが、他の実施形態においては、単一(例えば、位置敏感型)センサが使用されてもよいことに留意されたい。
【0081】
図5は、2400ÅのCu膜からの典型的な反射率信号(例えば、図3のシステム300のプロセッサ360によって測定される)を示す。図5にエコー位置が確認される。第1のエコーは、約100psで表面に戻り、第2のエコーは、約200psで到着する。膜の厚さは、次のように、エコー位置を使用して測定される。
厚さ=材料内の音速×1/2(往復時間)、および
厚さ=48Å/ps×1/2(100ps)=2400Å。
【0082】
上記に見られるように、測定は、接着パッドの5μm×7μmのレーザースポットサイズ、または30μm×30μmと小さな線配列試験部位を用いて実施することができる。典型的な測定時間は、約2〜3秒である。この小さな試験部位内での測定能力、高速処理能力、およびピコ秒超音波の非破壊的な性質が、該技術を製品ウエハ上での厚さ計測法として適したものにしている。結果として、ピコ秒超音波系MetaPULSE計測法ツールは、銅プロセスを監視するために広く使用されている。
【0083】
線幅が0.5μm/間隔が0.5μmのサブミクロン線配列を測定する場合、レーザースポットは、多数の銅線および層間絶縁(ILD)間隔を覆う。結果として生じる応答は、2D(横方向および縦方向)振動モードの重ね合わせであり、また、金属およびILDからの信号の畳み込みのため、さらに複雑である。測定を容易にするために、位置敏感型検出システム(PSD)が開発された。金属線配列測定にPSD技術を使用する測定の詳細は、他の場所に記載される。例えば、前掲のM. Hsieh et al.,を参照されたい。
【0084】
III.例示的な結果
【0085】
A.ピコ秒超音波方法論の検証
【0086】
ピコ秒超音波方法論は、いくつかの製品を、6つの銅金属化レベルすべてを通して特性化することによって検証された。該特性化の実施例を図6に示す。
【0087】
ここで、M6ウエハからのピコ秒超音波信号が、SEMによって得られた対応する断面分析と比較される。図6Aは、Cu0.6μm線配列上で記録されたPSD(位置敏感型検出器)信号を示し、一方、図6Bは、Cu全面シートボックス上の対応する信号を示す。両方の場合において、表面銅層の厚さを計算するために、明確に画定されたピークが使用される。図6Cは、図6AのCu0.6μm線配列に対応するSEM結果を示し、一方、図6Dは、図6BのCu全面シートボックスに対応するSEM結果を示す。
【0088】
両技術による結果を、表1に要約する(表中、「PULSE」は、Cu厚さを測定するために、図3および図4のシステムが、使用されたことを意味する)。

【0089】
調査されたすべての厚さの範囲にわたるSEM厚さおよびPULSE厚さの相関関係を図7にプロットする。そのようなPULSE技術の精度は、銅を通る速度が48Å/psであことを前提とすることによって得られ、これは、結晶方位が選択的に(1,1,1)である、CMP後Cu材料の典型的な予測される音速である。例えば、C. Detavernier, D.Deduytsche, R.L. Van Meirhaeghe, J.De Baerdemaeker, C. Dauwe, "Room−temperature grain growth in sputter−deposited Cu films", Applied Physics Letters, v 82 (12), 24 March 2003, 1863−5を参照されたい。
【0090】
各回に15回の連続静的反復測定を実施することによって、すべての金属レベルにおいて、ピコ秒超音波厚さ結果の測定再現性が試験された。さらに、それぞれのウエハは、取り付けおよび取り外しが10回行われ、同一ダイで再測定された。結果は、表2に示される。

【0091】
静的または動的モードのいずれかにおける、結果の標準偏差率(%)は、0.8パーセント内であり、これは、該技術がロバスト制御方法であることを示す。
【0092】
エロージョン・アンド・ディッシング適用
【0093】
Cuパッドにわたるディッシング効果、および線配列上のエロージョンは、次の金属レベルに残留物をもたらし得る。計測制御は、通常、偏光解析法および高解像度形状測定(HRP)を統合することによって対処されるが、PULSE技術は、計測制御(例えば、CMP制御)もできることが実証された。上記のC. Detavernier et al.を参照されたい。確かに、偏光解析器は、ILD厚さのみを提供することができ、Cu厚さを提供することができず、一方、HRPは、針をウエハに直接物理接触させる必要がある。これは、ウエハに物理的損傷に加えて汚染をもたらす可能性があり、この技術の信頼性および有用性を低下させる。本PULSE技術は、Cu構造を通して、直接厚さプロファイルを特性化することができる。本目的で、等間隔で分離された部位の配列は、両Cu構造にわたり、X方向に測定される。典型的な実施例が、図8に報告される。
【0094】
予測と一致して、ILDによって包囲されるCuパッドは、中央が除去速度が最低である端部より薄くなるように研磨される。また、同一効果は、線配列でも明白であるが、ILDマトリックスに埋め込まれるため、ここでは、厚さのばらつきは、パッド上よりも小さい。
【0095】
PULSE結果およびHRP結果を比較するために、いくつかのダイ上のパッド構造にわたり、MetaPULSE−IIによるディッシングプロファイルが繰り返された。非常に重要なばらつきが報告され、図9に表されるように、超音波結果は、HRPによって報告される動向に完全に従う。ピコ秒超音波による明白な過小評価は、2つの技術によって走査された異なるエリアを用いて説明することができる。事実、HRPプロファイルは、全面シートCuボックスを外側(ILD)から内側に測定することによって実施され、一方、MetaPULSE−IIは、ILD部分と比較して、より多くの材料が除去されるCuボックスの内側のみが測定される。
【0096】
PULSE技術がILD材料を測定できることを実証した(例えば、L. Chapelon et al., "Using ultrasonics to measure the strength of porous ULK dielectrics", Solid State Technology, November 2006, 33−36を参照)としても、CMPフローの結果として、Cu層の下端は、ILD積層体との共通基線を有さない。エロージョンおよびディッシングパラメータの評価は、それらが定義されるように、銅層の下に位置する酸化物の測定を必要とするであろう。図10は、SEMによって見られるような、実際の積層体組成を示す。
【0097】
しかしながら、パッドおよびCu線の絶対厚さを測定することは、CMPプロセスを完全に制御するのに、依然として十分である。ケーススタディとして、CMP圧力を増加させながら処理されたM6ウエハのセットによって、Cu線上のエロージョンおよびCuパッドにわたるディッシングを本明細書において調査した。MetaPULSE−IIシステムによって、9つのダイ/ウエハ上で、全面シートおよび線配列(幅が0.6μm)の厚さが測定された。25個すべての処理されたウエハのCu線の平均厚さおよび対応するシート抵抗が、図11に示される。全面シート上および線の配列上での厚さ結果は、概して同一の動向に従う。予測されるように、銅線は、対応する全面シートより厚い結果となった。これは、概して、近接するILDが最低除去速度を呈し、Cuが最高除去速度を呈するという事実によるものである。その結果、線配列と比較して、より多くのCuが全面シートから除去される。さらに、CMPプロセスの圧力の増加を考慮する場合、さらにより多くのCu材料が全面シートおよび線配列の両方から除去される。最後に、CMPプロセスによって印加される圧力が高くなるにつれて、全面シートと線との間の厚さの差は、減少される。
【0098】
特に、線配列の厚さは、シート抵抗と完全に反比例する。この結果は、PULSE技術によってもたらされる厚さパラメータが、最終的な電気的性能と直接相互関係し得ることを証明する。
IV.様々な線構造上の電気めっきされた銅の特性化
【0099】
ピコ秒超音波は、部分的に研磨されたウエハ上に加えて、CMP前の電気めっきされた銅を測定することができる。図12Aは、電気めっき後の広幅線配列(幅が約100μm、密度が50パーセント)の概略図を示し、部分CMP後の同一構造が図12Bに表される。線走査測定は、両ウエハ上の開放フィールドおよびトレンチを被覆する構造にわたり、行われた。結果は、それぞれ、図12Cおよび図12Dに図示される。
【0100】
図12Cにおいては、電気めっき後、ECP(電気めっきされた)Cu厚さは、開放フィールドおよびトレンチ構造の両方の上で、約1.6μmと測定された。部分CMP後、開放フィールド領域内の銅厚さは、約4500Åであり、トレンチ内では、約1.1μmである。パターン依存性電気めっき効果は、容易に分かる。広幅トレンチを充填するのに必要な時間は、開放フィールドエリア内の銅ビルドアップをもたらし、したがって銅厚さは、開放フィールドおよびトレンチともに同程度となる。しかしながら、サブミクロン線構造上では、線配列の上の銅ビルドアップは、線の「超充填」をもたらす。結果として、トレンチ内の銅は、開放フィールドより厚くなる。
【0101】
図13Aおよび図13Bは、ECP後および部分的に研磨された線構造(線幅が0.25μm、密度が50パーセント)の概略図を示し、図中、Xは、測定点を示す。図13Cおよび13Dは、それぞれ、CMP前ウエハおよび部分的に研磨されたウエハ上での開放フィールドとサブミクロン線エリアとの間で測定されたCu厚さを比較する。図13Cに見られるように、トレンチの超充填により、トレンチ厚さ(1.9μm)は、開放フィールド厚さ(1.5μm)より高い。部分CMP後、トレンチにオーバーバーデンを加えた合計厚さは、開放フィールド厚さ3800Åと比較して、約9000Åである。
【0102】
図14Aにおいては、CMP前の線配列構造(図14Bを参照)の生データの実施例が示される。1のラベルが付けられた第1のエコーは、450psで現れ、銅オーバーバーデンの厚さに対応し、2のラベルが付けられた680psの第2のエコーは、線配列およびオーバーバーデンの合計厚さに対応する。線配列厚さは、2つのエコー間の時間差から得られる。上述される方法および装置を使用する、CMP前線構造のピコ秒超音波測定結果は、CMP後厚さ測定結果との優れた相関関係を示し、走査電子顕微鏡(SEM)を使用することによって確認された。
【0103】
同一トレンチ深さを有するが、異なる標的厚さでめっきされたウエハが、ピコ秒超音波を使用して測定された。CMP前測定結果は、めっきされた状態のウエハで、Cuオーバーバーデンが7500Å〜9700Åであるが、トレンチ深さ(配列厚さ)は、約4100Åであることを示した。ピコ秒超音波による合計厚さ(銅オーバーバーデン+線配列)測定結果は、図15Aに見られるように、SEM測定結果によって提供される合計厚さの数との優れた相関関係を示した。CMP前測定結果とCMP後測定結果を比較するために、2セットのウエハが研磨された。線配列のCMP後測定結果は、SEM厚さに加え、CMP前配列測定結果との優れた一致を示した(図15B)。CMP後の線配列厚さは、約4000Åであった。0.14μm、50パーセント密度の線のデータを、下の表1に要約する。

【0104】
これらのようなCMP前測定結果は、見込まれるプロセスエクスカーションを特定し、CMPステップの前にそれらを補正する機会を提供する。
【0105】
パターン依存性CMPの特性化
【0106】
2つの異なる製品上で、それらを異なる時間研磨し、ピコ秒超音波を使用して残銅厚さを測定することによって、パターン依存性CMPの影響が調査された。図16は、AおよびBとして識別される、異なるダイ配置を有する2つの製品の銅厚さ対CMP研磨時間(T1<T2<T3)のプロットを示す。
【0107】
測定に、線配列(同一幅および密度)および隣接する接着パッドが選択された。時間T1で、製品B(L)上の線配列は、製品A(L)と比較して、大幅なオーバーバーデンを示した。線厚さを製品Bの工程範囲内まで減少させるために、追加研磨(時間T2および時間T3)を必要とする。時間T3で、両方の製品の線配列厚さ(約2500Å)が同等となり、各製品の最適研磨時間が確立された。
【0108】
また、比較のために、接着パッドの測定結果を示す。予想されるように、接着パッドは、線配列よりずっと早い速度で研磨される。製品Aでは、時間T1で、パッドは、線配列より800Å薄い。また、製品A上の接着パッド(パッド)はまた、製品B(パッド)より早い速度で研磨される。これも、接着パッド測定結果のみに依存することによってプロセスを監視する代わりに、線構造上を直接測定する必要性を示す。ピコ秒超音波測定結果によって提供されるフィードバックを使用し、さらなるウエハ処理のためのCMPプロセスおよび研磨時間が最適化された。
【0109】
ディッシングおよびエロージョン測定結果
【0110】
図16に見られるように、接着パッドは、より容易に研磨され、また、線配列と比較して大規模なディッシングを示す。図17Aおよび図17Bは、100μm×100μmの接着パッドにわたる高解像度線走査(5μmステップ)、および中心ダイのサブミクロン線構造にわたる同様の走査を示す。接着パッドは、線配列(約1800Å)よりほぼ50パーセント薄い(約900Å)。プロセス監視の方策が接着パッド測定結果のみに依存する場合、線の銅厚さが十分にプロセス許容範囲内であるにも関わらず、ウエハの不要な破棄をもたらし得る。
【0111】
CMPプロファイルを得るための、接着パッドおよび線配列のウエハ内均一性マップは、それぞれ、図18Aおよび18Bに示される。パッドおよび線配列の中心で、一点測定が行われた。接着パッドおよび線配列は、異なるCMPプロファイルを示す。接着パッドは、ウエハの中心および右側端部でより厚い。線配列プロファイルは、上端ダイおよび中間ダイが、中心および他の端部より容易に研磨されることを示す。
【0112】
ウエハマップ測定結果を、ウエハ上で測定された60個のダイの電気試験と比較した。図19Aおよび19Bは、それぞれ、接着パッドおよびCu線厚さの電気試験データの相関関係を示す。パッドおよび線配列の両方で、2つの技術間の優れた相関関係(R>0.9)が得られる。電気試験データとのそのような相関関係は、線配列、特に65nm以下の技術ノード上でプロセス制御測定を行うための必要条件である。高性能デバイス動作では、線は、高速かつ低所要電力を実現するために、所望の抵抗で維持されるべきである。電気的測定をインラインプロセス制御として使用することは実用的ではないため、確信を持ってピコ秒超音波を採用することができる。
【0113】
また、TEM厚さと相関があることによって、線配列上でのピコ秒超音波測定の精度が検証された。ピコ秒超音波を使用して、900〜1800Åの範囲に及ぶ厚さの合計10個のウエハが測定され、TEM画像を得るために、同一ダイが切断された。図20は、Rが0.99である、TEMとピコ秒超音波との間の優れた相関関係を示す。
【0114】
エクスカーション検出
【0115】
ピコ秒超音波測定結果を使用する線配列監視の方策は、プロセス許容範囲に従うウエハの初期DOE(実験計画(design−of−experiments))セットを用いて設定される。製法開発および最適化は、これらのウエハおよび先進モデリング(EASy(商標))を使用して実行される。ウエハ上でのダイサンプリングは、プロセスの成熟度に依存する。初期開発およびプロセス調整中、測定は、ウエハ全体にわたって行われる。
【0116】
過度に研磨されたウエハ、研磨が不十分なウエハ、または残留層の存在等のいかなる処理ミスも、ウエハを後続のプロセスステップに移動する前に特定され、フラグが立てられる。ピコ秒超音波は、その小さな測定寸法のため、ウエハのぎりぎりの端部(端部から1mm)を測定することができ、したがって、非常に正確なウエハ内均一性を提供する。図21Aにおいては、過度に研磨された端部ダイを有するウエハのウエハ内均一性プロファイルが示される。高圧力研磨中、このウエハ上の端部ダイの大部分は、ウエハ中心(1400Å)と比較して、過度に研磨されている(800Å)。また、比較のために、大幅に改善されたプロセスによるデータが図21Bに示される。ウエハの中心での銅厚さは約1400Åであり、端部ダイでは、約1100Åである。
【0117】
端部プロファイル適用
【0118】
本適用においては、ウエハの端部の近傍へのCMPプロセスの効果に注目する。2つの線間に5μmのILDを有する、幅が10μmの線の構造が、Y軸がウエハのノッチを通過する、XおよびY直径(例えば、軸)を通して特性化された。製品設計のため、本構造は、ウエハの端部の非常に近くで繰り返され、例えば、CMPプロセスの影響は、端部からの距離の関数として単一Cu線上で監視され得る。この線の構造上で、測定は、X方向に端部から最大4mm、およびY方向に最大7mm伸び得、ノッチの存在によって制限されている。異なるロットからの2つのウエハが測定された。
【0119】
X直径にわたり、両ウエハは、ウエハの端部に近づくとともに、Cu材料の大幅な減少を示した(データは図示せず)。Y方向を見ると、状況は異なる(図22)。この場合、第2の測定されたウエハは、第1の測定されたウエハより確実に平坦である。厚さの減少は、中心から端部でたったの1000Å前後であり、一方、前述の場合では、減少は3000Åを上回った。
【0120】
展望
【0121】
ピコ秒超音波技術は、デュアルダマシン構造によって要求されるCMP処理を最適化するための計測学的解決策として立証された。特に、サブミクロンスケールの全面シートおよび線配列のCu厚さを測定する能力は、同様にエロージョンおよびディッシング効果の優れた制御を提供する。記載される方法論は、いくつかの異なる製品に対し、および製品フローによって必要とされる6つの金属レベルすべてを通して、広範囲に試験された。
【0122】
全体的視野から見ると、ピコ秒超音波調査は、CMPステップの前の一回測定で、トレンチ内およびその上のCu材料の厚さを測定することによって、Cu電気めっきされた開始材料の成膜の誤りの評価に拡張することができる。
【0123】
45nmノードウエハに至るまでのこれらの技術拡張性が実証された。線配列測定(厚さ<1000A)の再現性性能(1シグマ標準偏差)は、0.5パーセント未満であり、十分にプロセス制御要求内である。
【0124】
線配列および固体パッドの両方の上で、電気めっきされた銅およびCMPプロセスを監視するためのピコ秒超音波の使用が記載されてきた。該技術は、電気めっきおよびCMPプロセスにフィードバック、フィードフォワード情報を提供するために、自動プロセス制御に採用することができる。本方法の精度は、SEMおよびTEMの両方との優れた相関関係を示している。また、プロセス制御に重要な電気試験データとの優れた相関関係も立証された。該技術が下に位置する層に敏感ではないことから、測定は、すべての金属化レベル(M1〜M8)の構造上で直接行うことができる。プロセスを監視するための方策を提供するように、ダイレベルおよびウエハレベルの両方で、測定を迅速に行うことができ、これは、未来の技術ノードで必要条件となる。また、45nmノードへの本技術の拡張性も、有望な結果で実証された。
【0125】
さらに、記載される技術は、自動欠陥カテゴリー化に使用されてもよい。試料ICの特性を判断できる場合もある。これらの特長は、製造プロセスが、欠陥ICをもたらしているかどうかを判断するために、評価できる場合もある。さらに、個々のICを、例えば、追加プロセスステップによって、救えるか、または該ICを廃棄しなければならないかを判断するために、評価できる場合もある。
【0126】
図23は、本発明に係る、製造プロセスを評価するための例示的な方法の簡易化フローチャートを図示する。ブロック2310で、光学ポンプビームパルスを生成し、該光学ポンプビームパルスを試料の表面に向ける。ブロック2320において、プローブパルスを生成し、該プローブパルスを試料の表面に向ける。ブロック2330において、プローブパルス応答信号を検出する。音響信号に応じて変化するプローブパルスの変化が、プローブパルス応答信号を形成する。ブロック2340において、プローブパルス応答信号に基づき、試料を作るために使用される、少なくとも1つの製造プロセスステップの評価が行われる。
【0127】
本発明に係る、例示的な実施形態は、製造プロセスを評価するための方法である。該方法は、光学ポンプビームパルスを生成することと、該光学ポンプビームパルスを試料の表面に向けることと、を含む。プローブパルスを生成し、該プローブパルスを試料の表面に向ける。プローブパルス応答信号を検出する。音響信号に応じて変化するプローブパルスの変化が、プローブパルス応答信号を形成する。試料を作るために使用される、プローブパルス応答信号に基づいて、1つ以上の製造プロセスステップの評価情報が形成される。
【0128】
上記の方法のさらなる例示的な実施形態においては、評価情報を形成することは、プローブパルス応答信号を、試料の1つ以上の特性と関連付けることを含み、1つ以上の製造プロセスステップの評価情報を形成することは、試料の1つ以上の特性に基づく。1つ以上の特性は、絶縁パッドの厚さ、銅パッドの厚さ、または線配列の厚さであってもよい。さらに、評価は、1つ以上の製造プロセスステップが、許容誤差内で試料の1つ以上の特性を作り出しているかどうかを判断することを含んでもよい。
【0129】
上記の方法のいずれかの別の例示的な実施形態においては、製造プロセスは、化学機械平坦化プロセスである。
【0130】
上記の方法のいずれかのさらなる例示的な実施形態においては、方法は、第1の製造プロセスを使用して試料を作出することを含む。また、該方法は、1つ以上の製造プロセスステップの評価に基づき、第1の製造プロセスを自動的に調節して、第2の製造プロセスを作成することを含んでもよい。調節することは、第1の製造プロセスに1つ以上の矯正プロセスステップを追加すること、および/または第1の製造プロセス内の1つ以上の製造ステップの通常プロセスパラメータを修正することを含んでもよい。
【0131】
上記の方法のいずれかの別の例示的な実施形態においては、方法は、1つ以上の製造プロセス評価に基づき、1つ以上の他の試料の生産を停止することを含む。
【0132】
上記の方法のいずれかのさらなる例示的な実施形態においては、1つ以上の製造プロセスステップを評価することは、1つ以上の製造プロセスステップが、1つ以上の所望の基板特性を満足する試料の作出をもたらしているかどうかを判断することを含む。
【0133】
上記の方法のいずれかの別の例示的な実施形態においては、プローブパルスの変化を検出することは、プローブパルスの偏位を検出するステップ、および/または試料の反射率の変化を検出することを含む。
【0134】
上記の方法のいずれかのさらなる例示的な実施形態においては、方法は、コンピュータ可読記憶媒体に記憶されるコンピュータプログラム命令の実行の結果として実施される。
【0135】
本発明に係る、別の例示的な実施形態は、製造プロセスを評価するための装置である。装置は、光学ポンプビームパルスを生成し、該光学ポンプビームパルスを試料の表面に向け、音響信号を生成し、プローブパルスを生成し、該プローブパルスを試料の表面に向けるように構成される、光源を含む。プローブパルス応答信号を検出するために、検出器が含まれる。プローブパルス応答信号は、音響信号に応じて変化するプローブパルスの変化に基づいて形成される。また、プローブパルス応答信号に基づいて、試料を作るために使用される第1の製造プロセスの1つ以上の製造プロセスステップの評価情報を形成しうる処理ユニットも含まれる。
【0136】
上記の装置のさらなる例示的な実施形態においては、また、処理ユニットは、プローブパルス応答信号を試料の1つ以上の特性と関連付け、該試料の1つ以上の特性に基づいて評価情報を形成することができる。1つ以上の特性は、絶縁パッドの厚さ、銅パッドの厚さ、または線配列の厚さであってもよい。
【0137】
上記のいずれかの装置の別の例示的な実施形態においては、また、処理ユニットは、少なくとも1つの製造プロセスステップの評価に基づいて第1の製造プロセスを自動的に調節し、第2の製造プロセスを作成することができる。
【0138】
上記のいずれかの装置のさらなる例示的な実施形態においては、検出器は、プローブパルスの偏位および/または試料の反射率の変化を検出することができる。
【0139】
本発明に係る、別の例示的な実施形態は、製造プロセスを評価するための装置である。装置は、光学ポンプビームパルスを生成し、該光学ポンプビームパルスを試料の表面に向けるための第1の手段を含む。プローブパルスを生成し、該プローブパルスを試料の表面に向けるための第2の手段が含まれる。装置は、プローブパルス応答信号を検出するための手段を含む。音響信号に応じて変化するプローブパルスの変化が、プローブパルス応答信号を形成する。プローブパルス応答信号に基づき、試料を作るために使用される、少なくとも1つの製造プロセスステップの評価情報を形成するための評価手段が含まれる。
【0140】
上記の装置のさらなる例示的な実施形態においては、第1の生成手段は、パルスレーザーであり、第2の生成手段は、パルスレーザーであり、検出手段は、検出器であり、評価手段は、処理ユニットである。
【0141】
前述の説明は、例示的かつ非制限的な実施例を用いて、発明者によって現在熟慮される、本発明の実施形態を実施するために最善の技術の完全かつ有益な説明を提供してきた。しかしながら、付随の図面および添付の特許請求の範囲と併せて読まれる場合、前述の説明を考慮し、様々な修正および適合が、当業者にとって明らかとなり得る。本発明の教示のすべてのそのような、および同様の修正は、依然として本発明の範囲内である。
【0142】
さらに、例示的な本発明の実施形態の特長のいくつかは、利益を得るために、対応する他の特長を使用することなく使用することができる。そのように、前述の説明は、本発明の実施形態の原理の一例でしかなく、それらの制限ではないと見なされるべきである。

【特許請求の範囲】
【請求項1】
光学ポンプビームパルスを生成し、前記光学ポンプビームパルスを試料の表面に向けて、音響信号を生成することと、
プローブパルスを生成し、前記プローブパルスを前記試料の前記表面に向けることと、
前記音響信号に応じて変化する前記プローブパルスの変化が形成するプローブパルス応答信号を検出することと、
前記プローブパルス応答信号に基づき、前記試料を作るために使用される少なくとも1つの製造プロセスステップの評価情報を形成することと、
を含む、方法。
【請求項2】
前記評価情報を形成することは、
前記プローブパルス応答信号を、前記試料の少なくとも1つの特性と関連付けることと、
前記試料の前記少なくとも1つの特性に基づき、前記少なくとも1つの製造プロセスステップの前記評価情報を形成することと、を含む、請求項1に記載の方法。
【請求項3】
前記少なくとも1つの特性は、絶縁パッドの厚さ、銅パッドの厚さ、および線配列の厚さのうちの少なくとも1つである、請求項2に記載の方法。
【請求項4】
前記評価情報は、前記少なくとも1つの製造プロセスステップが、前記試料の前記少なくとも1つの特性を許容誤差内でもたらしているかどうかについての判定を含む、請求項2に記載の方法。
【請求項5】
前記製造プロセスは、化学機械平坦化プロセスである、請求項1に記載の方法。
【請求項6】
第1の製造プロセスを使用して、前記試料を作出することをさらに含む、請求項1に記載の方法。
【請求項7】
前記少なくとも1つの製造プロセスステップの前記評価情報に基づき、前記第1の製造プロセスを自動的に調節して、第2の製造プロセスを作成することをさらに含む、請求項6に記載の方法。
【請求項8】
前記調節することは、前記第1の製造プロセスに少なくとも1つの矯正プロセスことを追加することを含む、請求項7に記載の方法。
【請求項9】
前記調節することは、前記第1の製造プロセスの一部を含む、少なくとも1つの製造ことの通常プロセスパラメータを修正することを含む、請求項7に記載の方法。
【請求項10】
前記少なくとも1つの製造プロセスの前記評価情報に基づき、少なくとも1つの他の試料の生産を停止することをさらに含む、請求項1に記載の方法。
【請求項11】
前記少なくとも1つの製造プロセスステップの前記評価情報は、前記少なくとも1つの製造プロセスステップが、少なくとも1つの所望の基板特性を満足する試料の作出をもたらしているかどうかについての判定を含む、請求項1に記載の方法。
【請求項12】
前記プローブパルスの変化を検出することは、前記プローブパルスの偏位を検出すること、および前記試料の反射率の変化を検出することのうちの少なくとも1つを含む、請求項1に記載の方法。
【請求項13】
コンピュータ可読記憶媒体に記憶されるコンピュータプログラム命令の実行の結果として実行される、請求項1に記載の方法。
【請求項14】
光源と、検出器と、処理ユニットとを備える装置であって、
前記光源は、
・ 光学ポンプビームパルスを生成し、
・ 音響信号を生成するために、前記光学ポンプビームパルスを試料の表面に向け、
・ プローブパルスを生成し、
・ 前記プローブパルスを前記試料の前記表面に向ける
ように構成され、
前記検出器は、前記音響信号に応じて変化する前記プローブパルスの変化に基づいて形成されるプローブパルス応答信号を検出するように構成され、
前記処理ユニットは、前記プローブパルス応答信号に基づき、前記試料を作るために使用される第1の製造プロセスの少なくとも1つの製造プロセスステップの評価情報を形成するように構成される、
装置。
【請求項15】
前記処理ユニットは、さらに、
前記プローブパルス応答信号を前記試料の少なくとも1つの特性と関連付け、
前記試料の前記少なくとも1つの特性に基づいて前記評価情報を形成する、
ように構成される、請求項14に記載の装置。
【請求項16】
前記少なくとも1つの特性は、絶縁パッドの厚さ、銅パッドの厚さ、および線配列の厚さのうちの少なくとも1つである、請求項15に記載の装置。
【請求項17】
前記処理ユニットは、前記少なくとも1つの製造プロセスステップの前記評価情報に基づき、前記第1の製造プロセスを自動的に調節して、第2の製造プロセスを作成するようにさらに構成される、請求項14に記載の装置。
【請求項18】
前記検出器は、前記プローブパルスの偏位、および前記試料の反射率の変化のうちの少なくとも1つを検出するように構成される、請求項14に記載の装置。
【請求項19】
光学ポンプビームパルスを生成し、前記光学ポンプビームパルスを試料の表面に向けて、音響信号を生成する第1の手段と、
プローブパルスを生成し、前記プローブパルスを前記試料の前記表面に向ける第2の手段と、
前記音響信号に応じて変化する前記プローブパルスの変化が形成するプローブパルス応答信号を検出する手段と、
前記プローブパルス応答信号に基づき、前記試料を作るために使用される、少なくとも1つの製造プロセスステップの評価情報を形成する評価手段と、を備える、装置。
【請求項20】
前記第1の生成手段はパルスレーザーであり、前記第2の生成手段は前記パルスレーザーであり、前記検出手段は検出器であり、前記評価手段は処理ユニットである、請求項19に記載の装置。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6A】
image rotate

【図6B】
image rotate

【図6C】
image rotate

【図6D】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図11】
image rotate

【図12A】
image rotate

【図12B】
image rotate

【図12C】
image rotate

【図12D】
image rotate

【図13A】
image rotate

【図13B】
image rotate

【図13C】
image rotate

【図13D】
image rotate

【図14A】
image rotate

【図14B】
image rotate

【図15A】
image rotate

【図15B】
image rotate

【図16】
image rotate

【図17A】
image rotate

【図17B】
image rotate

【図18A】
image rotate

【図18B】
image rotate

【図19】
image rotate

【図20】
image rotate

【図21A】
image rotate

【図21B】
image rotate

【図22】
image rotate

【図23】
image rotate

【図10】
image rotate


【公表番号】特表2010−520616(P2010−520616A)
【公表日】平成22年6月10日(2010.6.10)
【国際特許分類】
【出願番号】特願2009−551728(P2009−551728)
【出願日】平成20年2月28日(2008.2.28)
【国際出願番号】PCT/US2008/002649
【国際公開番号】WO2008/106199
【国際公開日】平成20年9月4日(2008.9.4)
【出願人】(507103868)ルドルフテクノロジーズ  インコーポレイテッド (7)
【氏名又は名称原語表記】Rudolph Technologies, Inc.
【住所又は居所原語表記】One Rudolph Road, Flanders, NJ 07836 United States of America
【Fターム(参考)】