説明

デジタル信号処理装置及び音声信号再生装置

本発明に係る音声信号再生装置においては、PCM信号を入力し、前記PCM信号をデルタ−シグマ変調する7次デルタ−シグマ変調回路と、前記7次デルタ−シグマ変調回路から出力される信号をパルス幅変調して1ビットデジタル信号を生成するPWM回路と、1ビットデジタル信号をアナログ信号に変換して増幅するスイッチングアンプと、アナログ信号の高域成分を除去するローパスフィルタと、を備える構成としている。このような構成により、小型化・軽量化を図ることができる。

【発明の詳細な説明】
【技術分野】
本発明は、音声信号再生装置に関する。特に、デルタ−シグマ変調回路を有する音声信号再生装置に関する。また、本発明は、デジタル信号処理装置に関する。
【背景技術】
CD、MD等の記録媒体に格納されている音声信号を再生する音声信号再生装置として、マルチビットPCM(Pulse Code Modulation)方式音声信号再生装置と1ビット方式音声信号再生装置とが挙げられる。1ビット方式はマルチビットPCM方式よりも音源を忠実に再現できる方式である。
従来の1ビット方式音声信号再生装置は、マルチビットデジタル信号である音声信号を1ビットデジタル信号に符号化し、その1ビットデジタル信号をデジタル増幅し、そのデジタル増幅された1ビットデジタル信号をローパスフィルタによってアナログ信号である再生信号に変換する(例えば、特開平10−322215号公報参照)。
ここで、従来の1ビット方式音声信号再生装置が備えるPDM(Pulse Density Modulation)信号生成回路の一構成例を図5に示す。
図5のPDM信号生成回路は、入力端子IN、出力端子OUT、乗算器101〜111、加算器112〜119、量子化器120、及び遅延器121〜128によって構成される。なお、乗算器101、102、…、111の乗算係数はそれぞれm1、m2、…、m11である。
図5のPDM信号生成回路はマルチビットデジタル信号である音声信号に対して7次デルタ−シグマ変調を行い1ビットデジタル信号であるPDM信号を生成する。PDM信号は、振幅も時間幅も同じパルスであり、パルスの密度、すなわち出現頻度を変化させることによって信号のレベルを表現している。
また、図5のPDM信号生成回路は、音源を忠実に再現するために、入力端子INから出力端子OUTまで2.8MHz又は5.6MHzの非常に速いサンプリング周波数でデータを処理している。
デルタ−シグマ変調により1ビットデジタル信号を生成した場合、量子化誤差成分は高域にシフトした分布となる。これは、「ノイズシェーピング」として知られている。したがって、図5のPDM信号生成回路は、目的とする周波数帯域(例えば可聴帯域)の量子化ノイズ低減のために用いられ、IIR(Infinite−duration Impulse Response)フィルタの役割を果たす。これにより、目的とする周波数帯域内のS/Nを確保し、広帯域化を図ることができる。
しかながら、図5のPDM信号生成回路では、サンプリング周波数が非常に高く(例えば2.8MHz、5.6MHz等)、不要輻射の影響が大きいため鋼板及び銅板による二重シールド等の十分なノイズ・シールド対策が必要不可欠となる。このため、音声信号再生装置においてシールド鋼板等がかなりのスペースを占めることとなり、音声信号再生装置の重量も増すので、音声信号再生装置の小型化・軽量化を図れなかった。
また、図5のPDM信号生成回路は乗算器、加算器を数多く用いているため回路規模が大きくなっていた。そして、回路規模が大きいために消費電力も大きかった。さらに、図5のPDM信号生成回路は一般的にアナログ回路であり片チャンネルのみの処理しか対応していないため、ステレオ(Lch、Rch)で使用する場合は図5に示したPDM信号生成回路を2つ設けなければならなかった。PDM信号生成回路はこのように複雑且つ回路規模が莫大な回路構成をしていることから従来LSIに搭載することは困難であった。このため、音声信号再生装置の小型化・軽量化を図れなかった。
図5のPDM信号生成回路は、上記問題点を有するため、低消費電力化、小型軽量化、コストダウンが必要不可欠なポータブル型音声信号再生装置には特に不向きであり、ポータブル型音声信号再生装置には採用されていなかった。
【発明の開示】
本発明は、上記の問題点に鑑み、小型化・軽量化を図ることができる1ビット方式音声信号再生装置を提供することを目的とする。また、本発明は、上記の問題点に鑑み、回路規模を小さくすることができるデジタル信号処理装置を提供することを目的とする。
上記目的を達成するために、本発明に係るデジタル信号処理装置においては、加算手段と、信号に所定の乗算値を乗算する第1の乗算手段と、前記加算手段の出力信号を遅延させる遅延手段と、前記遅延手段の出力信号に所定の乗算値を乗算する第2の乗算手段とを備え、前記加算手段は、前記第1の乗算手段の出力信号と、前記遅延手段の出力信号と、前記第2の乗算手段の反転出力信号とを加算するものであって、前記加算手段の出力を前記第1の乗算手段及び前記遅延手段に入力するという処理を複数回繰り返し行うことによって信号を処理する構成とする。さらに、前記加算手段の出力信号を記憶する記憶手段と、総加算手段と、量子化手段とを備え、前記加算手段により加算処理が行われるまでが1ステップであり、第1ステップにおいて外部からの入力信号を前記第1の乗算手段にて所定の乗算値を乗算した上で前記加算手段に入力し、第2ステップ以降において前記記憶手段の出力信号を前記第1の乗算手段及び前記遅延手段に入力し、前記加算手段は前記第1の乗算手段の出力信号と、前記遅延手段の出力信号と、前記第2の乗算手段からの反転出力信号とを加算するという処理を複数ステップ行い、最終ステップにおいて前記記憶手段に記憶された各ステップ毎の前記加算手段の出力信号を前記総加算手段にて総加算した後、前記量子化手段にて前記総加算手段の出力信号を量子化し、前記量子化手段の出力信号を外部に出力するとともに前記第1ステップにおける前記第2の乗算手段に帰還させる構成とする。
このような構成によると、従来のような回路規模の大きい従来の乗算器を用いず、共有化された回路規模の小さなシフターと加算器を用いて乗算処理をおこなうことが可能となり、大幅な消費電力の削減につながるとともに、上記のような繰り返し処理を行う信号処理装置をLSIに搭載することが可能となる。
また、本発明に係るデジタル信号処理装置において、前記第1の乗算手段が入力信号をするか否か、前記遅延手段が信号を出力するか否か、前記第2の乗算手段が信号を出力するか否かをそれぞれ別個の制御信号によって制御することができる構成とすることが望ましい。このような構成によると、必要でない手段については稼動させないようにすることができるので、電力の消費を大幅に削減することができる。
また、上記構成のデジタル信号処理装置において、前記加算手段が減算処理も行える加減算手段であって、前記第1の乗算手段が信号に所定の乗算値を乗算する処理を、前記第1の乗算手段が信号に対して所定倍数又は所定倍数の逆数の乗算値を乗算して前記加減算手段に出力し、前記加減算手段が前記第1の乗算手段の出力と前記遅延手段の出力とを加算もしくは減算し、前記遅延手段が前記加減算手段の出力を入力するという演算処理を繰り返し行うことによって、信号に対して所定の乗算係数を乗算する第1の処理に置換すること、前記第2の乗算手段が信号に所定の乗算値を乗算する処理を、前記第2の乗算手段が信号に対して所定倍数又は所定倍数の逆数の乗算値を乗算して前記加減算手段に出力し、前記加減算手段が前記第2の乗算手段の出力と前記遅延手段の出力とを加算もしくは減算し、前記遅延手段が前記加減算手段の出力を入力するという演算処理を繰り返し行うことによって、信号に対して所定の乗算係数を乗算する第2の処理に置換することの少なくとも一つを行うようにしてもよい。
前記加減算手段が前記第1又は第2の乗算手段の出力と前記遅延手段の出力とを加算もしくは減算するので、前記第1又は第2の乗算手段の出力と前記遅延手段の出力と加算するのみの場合に比べて処理の繰り返し回数を減らすことができる場合がある。例えば、所定の乗算係数が0.9375であり、所定倍数又は所定倍数の逆数の乗算値が1/16である場合、加算のみでは15回の繰り返し処理が必要であるが、初回の処理における所定倍数又は所定倍数の逆数の乗算値を1とし、2回目の処理における所定倍数又は所定倍数の逆数の乗算値を1/16として減算を行うと2回の繰り返し処理ですむ。これにより、乗算係数の精度が上がって処理データの桁数が増加しても演算クロック周波数の増加を少なくすることができるので、乗算係数値の精度を向上させても消費電力を低く抑えることができる。
また、前記演算処理の繰り返し毎に前記所定倍数又は所定倍数の逆数の乗算値を異なる値にすることが望ましい。
これにより、繰り返し処理の回数を減らすことができる。例えば、所定の乗算係数が0.9375であり、所定倍数又は所定倍数の逆数の乗算値が1/16である場合、加算のみでは15回の繰り返し処理が必要であるが、所定倍数又は所定倍数の逆数の乗算値を1/2、1/4、1/8、1/16と繰り返し処理毎に切り替えれば、加算のみでも4回の繰り返し処理で所定の乗算係数を0.9375にすることができる。また、所定の乗算係数が0.90625である場合に、初回の処理における所定倍数又は所定倍数の逆数の乗算値を1とし、2回目以降の処理における所定倍数又は所定倍数の逆数の乗算値を1/32として減算を行うと、所定の乗算係数0.90625(=1−1/32−1/32−1/32)の乗算処理を実現するために4回の繰り返し処理が必要であるが、所定倍数又は所定倍数の逆数の乗算値を1、1/16、1/32と繰り返し処理毎に切り替えれば、所定の乗算係数0.90625(=1−1/16−1/32)の乗算処理を実現するために3回の繰り返し処理ですむ。
さらに、前記所定の乗算係数を“0”と“1”の2ビットで表現した場合に“1”である桁が3桁以上に渡り連続するときは、前記加減算手段が減算を行うことが望ましい。
これにより、前記加減算手段が減算処理を行うことで、前記加減算手段が加算のみを行うよりも繰り返し処理回数を減らすことができる場合のみ、前記加減算手段が減算処理を行うようにすることができる。
また、上記目的を達成するために、本発明に係る音声信号再生装置においては、音声信号がパルスコード変調されたPCM信号を入力し、前記PCM信号をデルタ−シグマ変調するデルタ−シグマ変調手段と、前記デルタ−シグマ変調手段から出力される信号をパルス幅変調して1ビットデジタル信号を生成するPWM手段と、前記1ビットデジタル信号をアナログ信号に復調する復調手段と、を備える構成とする。
このような構成によると、1ビットデジタル信号をデジタル増幅してからアナログ信号に復調することができるので、従来のマルチビットPCM方式よりも音源を忠実に再現できる。
また、1ビット信号をPDM変調方式(2.8MHz又は5.6MHz)よりもサンプリング周波数の低いPWM変調方式(例えば352.8kHz)によって生成するので、スイッチングアンプのスイッチングロスを抑え、消費電力の低減ができ、不要輻射を抑えることができる。これにより、PDM変調方式には必要不可欠な鋼板及び銅板による二重シールド等のノイズ・シールド対策が不要となりプラスティックキャビネット等で商品の構成ができ、小型化・軽量化・低コスト化を図ることができる。
また、PCM信号をデルタ−シグマ変調した信号をパルス幅変調することによって1ビットデジタル信号を生成しているので、デルタ−シグマ変調回路だけでPCM信号から1ビットデジタル信号を生成する従来の1ビット方式と比較して、デルタ−シグマ変調回路のサンプリング周波数を極端に小さくすることができる。つまり、各クロック間でのそれぞれの仕事が非常に余裕をもって行うことができる。したがって、一つのクロックで行う仕事をさらに分割して行うことができる。すなわち、n個のステップに分解して作業を行うのであればn倍のクロックで実施すればよい。そして、上述したようにデルタ−シグマ変調回路のサンプリング周波数を極端に小さくしているのであるからnが6や7であっても何ら上記の効果を失うものではない。さらに上記n個のステップは積分作業等基本的に同じもの、只各ステップ毎の取扱う定数値が異なるだけのものであるから定数値を切替える作業と基本作業をルーチン的に行えるようにしておけば所定のデルタ−シグマ変調を実施することができる。このことにより、ソフト的にハード的に構成が非常に簡素化される。つまり、さらにノイズの発生を妨げることができ不要輻射の対策となる。
また、上記構成において、前記デルタ−シグマ変調手段に上記デジタル信号処理装置を用いるとよい。これにより、大幅な消費電力の削減を図ることができる。
また、上記構成において、前記デルタ−シグマ変調手段が、音声信号の左チャンネル用信号と右チャンネル用信号と交互に処理するようにしてもよい。これにより、前記乗算手段、前記加算手段、及び前記量子化手段を左チャンネルと右チャンネルとで共通に使用できるため、さらなる回路削減になり、消費電力の削減につながる。
また、上記いずれかの構成の音声信号再生装置をポータブル型音声信号再生装置にしてもよい。これにより、低消費電力化、小型軽量化、コストダウンが必要不可欠なポータブル型音声信号再生装置において、低消費電力化、小型軽量化、低コスト化を図ることができる。
【図面の簡単な説明】
図1は本発明に係るMD再生装置の一構成例を示す図、
図2は図1のMD再生装置が備える7次デルタ−シグマ変調回路の一構成例を示す図、
図3は図1のMD再生装置が備えるPWM回路の一構成例を示す図、
図4は図3のPWM回路における96進カウンタのクロック信号と、96進カウンタのカウント値と、PWM信号との関係を示す図、
図5は従来の1ビット方式音声信号再生装置が備えるPDM信号生成回路の一構成例を示す図、
図6は図1のMD再生装置が備える7次デルタ−シグマ変調回路の他の構成例を示す図である。
【発明を実施するための最良の形態】
以下に本発明の一実施形態について図面を参照して説明する。本発明に係る音声信号再生装置として、ここではMD再生装置を例に挙げて説明を行う。図1は本発明に係るMD再生装置の一構成例を示すブロック図である。
光ピックアップ装置2はMD1から信号を取り出して伸長回路3に送出する。伸長回路3は入力された信号(圧縮音楽データ)を伸長する。これにより、伸長回路3から出力される信号は、サンプリング周波数44.1kHzのPCM信号S1になる。
オーバーサンプリング回路4は、伸長回路3から出力されるPCM信号S1をサンプリング周波数8fsの24ビット(マルチビット)PCM信号S2に変換する。ただし、fs=44.1kHzである。
7次デルタ−シグマ変調回路5は、オーバーサンプリング回路4から出力されるPCM信号S2をデルタ−シグマ変調して、左チャンネル用6ビットPCM信号S3及び右チャンネル用6ビットPCM信号S4を生成する。なお、6ビットPCM信号S3及びS4のサンプリング周波数は8fsである。
PWM回路6は、7次デルタ−シグマ変調回路5から出力される左チャンネル用6ビットPCM信号S3をパルス幅変調して左チャンネル用1ビットPWM信号S5を生成し、7次デルタ−シグマ変調回路5から出力される右チャンネル用6ビットPCM信号S4をパルス幅変調して右チャンネル用1ビットPWM信号S6を生成する。
PWM回路6から出力される左チャンネル用1ビットPWM信号S5はスイッチングアンプ7によってアナログ信号に変換され増幅されたのち、ローパスフィルタ(LPF)8によって高域成分が除去され、左チャンネル用スピーカ9によって音声になる。また、PWM回路6から出力される右チャンネル用1ビットPWM信号S6はスイッチングアンプ10によってアナログ信号に変換され増幅されたのち、ローパスフィルタ(LPF)11によって高域成分が除去され、右チャンネル用スピーカ12によって音声となる。
なお、本実施形態では、伸長回路3、オーバーサンプリング回路4、7次デルタ−シグマ変調回路5、及びPWM回路6は1つのシステムLSIに搭載されているが、別々に設けられていてもよい。
続いて、本発明の特徴部分である7次デルタ−シグマ変調回路5とPWM回路6についてさらに詳しく説明する。まず、7次デルタ−シグマ変調回路5について説明する。7次デルタ−シグマ変調回路5の一構成例を図2に示す。
図2の7次デルタ−シグマ変調回路は、入力端子INと、出力端子OUTと、シフター14〜16と、加算器17と、量子化器18と、レジスタds1_reg〜ds7_reg、out_reg、ACC、及びregと、セレクタ19〜23とによって構成されるデジタル信号処理装置である。
入力端子INがセレクタ21の“01”入力端子に接続され、セレクタ21の出力端子がシフター14の入力側に接続される。加算器17は、シフター14及び15の出力を非反転入力し、シフター16の出力を反転入力する。加算器17の出力側がレジスタACCの入力側に接続される。そして、レジスタACCの出力側がセレクタ21の“10”入力端子と、レジスタds1_reg〜ds7_reg及びout_regそれぞれの入力側とに接続される。
レジスタds1_regの出力側がセレクタ19及び20の“000”入力端子に接続され、レジスタds2_regの出力側がセレクタ19及び20の“001”入力端子に接続され、レジスタds3_regの出力側がセレクタ19及び20の“010”入力端子に接続され、レジスタds4_regの出力側がセレクタ19及び20の“011”入力端子に接続され、レジスタds5_regの出力側がセレクタ19及び20の“100”入力端子に接続され、レジスタds6_regの出力側がセレクタ19及び20の“101”入力端子に接続され、レジスタds7_regの出力側がセレクタ19及び20の“110”入力端子に接続される。また、レジスタout_regの出力側が量子化器18の入力側に接続され、量子化器18の出力側がセレクタ20の“111”入力端子およびレジスタregの入力側に接続される。そして、レジスタregの出力側が出力端子OUTに接続される。
さらに、セレクタ19の出力端子がセレクタ22の“1”入力端子に接続され、セレクタ22の出力端子がシフター15の入力側に接続される。セレクタ20の出力端子がセレクタ23の“1”入力端子に接続され、セレクタ23の出力端子がシフター16の入力側に接続される。
シフター14〜16はそれぞれシフター制御信号ctl1〜ctl3によって制御される。セレクタ19は選択信号regsel_1によって制御され、セレクタ20は選択信号regsel_2によって制御され、セレクタ21は選択信号aselによって制御され、セレクタ22は選択信号bselによって制御され、セレクタ23は選択信号cselによって制御される。セレクタ19〜23は各々の選択信号の内容と一致する入力端子を選択し、選択された入力端子に入力された信号を出力端子に出力する。また、レジスタACCはイネーブル信号enable_accによって制御され、レジスタds1_reg〜ds7_regはそれぞれイネーブル信号enable_1〜enable_7によって制御され、レジスタout_regはイネーブル信号enable_oによって制御される。そして、セレクタ21の“00”入力端子、セレクタ22の“0”入力端子、セレクタ23の“0”入力端子には、すべてのビット列が0であるデータが入力される。
このような構成により、乗算処理をする場合はセレクタ21の制御信号asel、セレクタ22の制御信号bsel、及びセレクタ23の制御信号cselを乗算処理用の信号に切り替えてシフター14、15、及び16と加算器17との組み合わせによって乗算結果を得ることができる。
例えば、図5のPDM信号生成回路において入力端子INから入力された358.8kHzの24ビットPCM信号を乗算器101が乗算処理して得られる結果と同一の結果を図2の7次デルタ−シグマ変換回路において得るためには、乗算係数m1=0.5の場合は図2の7次デルタ−シグマ変換回路においてセレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で1ビット右にシフトさせ、乗算係数m1=0.25の場合は図2の7次デルタ−シグマ変換回路においてセレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で2ビット右にシフトさせ、選択信号bsel及びcselを“0”とすることによって、シフター14の出力値をレジスタACCに格納する。
なお、音質を良くするために乗算係数m1の精度を上げるときはシフト量の異なるシフター値を必要に応じて加算することができる。例えば乗算係数m1を0.9375にする場合について以下に説明する。この場合、7次デルタ−シグマ変調回路5を図2に示す回路構成ではなく図6に示す回路構成にする。なお、図6において図2と同一の部分には同一に符号を付し詳細な説明を省略する。図6に示す7次デルタ−シグマ変調回路は、図2に示す7次デルタ−シグマ変調回路のセレクタ22をセレクタ22’に置換した構成である。そして、レジスタACCの出力側がセレクタ22’の“10”入力端子に接続され、セレクタ19の出力端子がセレクタ22’の“00”入力端子に接続され、セレクタ22’の出力端子がシフター15の入力側に接続される。セレクタ22’の“01”入力端子には、すべてのビット列が0であるデータが入力される。セレクタ22’は選択信号bselによって制御され、選択信号の内容と一致する入力端子を選択し、選択された入力端子に入力された信号を出力端子に出力する。なお、加算器17は、外部信号(図示せず)によってシフター14の出力を非反転入力せずに反転入力することができる。
まず、図6の7次デルタ−シグマ変換回路においてセレクタ21の出力の選択信号aselを“01”とし、シフター14に取り込まれるセレクタ21の出力データdataaをシフター14で1ビット右にシフトさせ、選択信号bselを“01”とし、選択信号cselを“0”とすることによって、シフター14の出力値をレジスタACCに格納する。
そして、セレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で2ビット右にシフトさせ、選択信号bselを“10”とし、選択信号cselを“0”とすることによって、シフター14の出力とシフター15の出力との加算値をレジスタACCに格納する。この時点で、乗算係数m1は0.75(=1/2+1/4)に相当する。
さらに、セレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で3ビット右にシフトさせ、選択信号bselを“10”とし、選択信号cselを“0”とすることによって、シフター14の出力とシフター15の出力との加算値をレジスタACCに格納する。この時点で、乗算係数m1は0.875(=1/2+1/4+1/8)に相当する。
さらに、セレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で4ビット右にシフトさせ、選択信号bselを“10”とし、選択信号cselを“0”とすることによって、シフター14の出力とシフター15の出力との加算値をレジスタACCに格納する。この時点で、乗算係数m1は0.9375(=1/2+1/4+1/8+1/16)に相当する。
以上のようにシフター14によって信号を複数回シフトさせることにより、乗算係数の精度を上げることが出来る。上記動作(以下、第1の動作ともいう)では乗算係数m1を0.9375にするために加算が4回必要であるが、図6に示す7次デルタ−シグマ変調回路5は以下の動作(以下、第2の動作ともいう)によっても乗算係数の精度を上げることができる。
上記と同様、乗算係数m1を0.9375にする場合、図6の7次デルタ−シグマ変換回路において、まずセレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14でシフトさせないで、選択信号bsel“01”とし、選択信号cselを“0”とすることによって、シフター14の出力値をレジスタACCに格納する。
そして、加算器17がシフター14の出力を非反転入力ではなく反転入力し、セレクタ21の選択信号aselを“01”としシフター14に取り込まれるセレクタ21の出力データdataaをシフター14で4ビット右にシフトさせ、選択信号bsel“10”とし、選択信号cselを“0”とすることによって、シフター14の出力を減算するために反転出力させたものとシフター15の出力との加算値をレジスタACCに格納する。この時点で、すでに乗算係数m1は0.9375(=1−1/16)に相当する。この動作では加減算が2回で十分であり加算のみによる演算に比べ処理が削減されている。これにより、乗算係数の精度が上がって処理データの桁数が増加しても演算クロック周波数の増加を少なくすることができるので、乗算係数値の精度を向上させても消費電力を低く抑えることができる。
図6の7次デルタ−シグマ変調回路5が第1の動作と第2の動作のどちらを行うかは、2進数表現した固定係数を加算に展開した際、“1”の立っている桁が3桁以上に渡り連続する場合には第2の動作を採用するというように決定すると効果的である。上記乗算係数m1を0.9375にする例では2進表現すると、m1=0.1111=(1/2)+(1/4)+(1/8)+(1/16)であるものを、減算を用いた方法ではm1=0.1111=(1)−(1/16)と処理したことになる。
そして、レジスタACCに格納された入力信号に乗算係数m1を乗じた値は、図5の各次遅延器121〜128に相当するレジスタds1_reg〜ds7_reg及びout_regに格納される。なお、レジスタds1_reg〜ds7_reg及びout_regはそれぞれ24ビット幅である。
セレクタ21の選択信号aselが“10”である場合レジスタACCの出力(レジスタds1_regのレジスタ値と同一)がセレクタ21の出力になり、そのセレクタ21の出力がシフター14によって乗算係数m2に当たる値でシフト乗算されて加算器17の一方の非反転入力になる。また、セレクタ22の選択信号bselが“1”且つセレクタ19の選択信号regsel_1が“001”である場合レジスタds2_regの前回のレジスタ値がセレクタ22の出力になり、そのセレクタ22の出力がシフター15によってシフト乗算されずに加算器17の他方の非反転入力になる。また、セレクタ23の選択信号cselが“1”且つセレクタ20の選択信号regsel_2が“010”である場合レジスタds3_regの前回のレジスタ値がセレクタ23の出力になり、そのセレクタ23の出力がシフター16によって乗算係数m8に当たる値でシフト乗算されて加算器17の反転入力になる。この場合、加算器17は図5のPDM信号生成回路に設けられる加算器113に相当する処理をする。
セレクタ19〜23の選択信号及びシフター14〜16の制御信号を変えることによって、加算器17が図5のPDM信号生成回路に設けられる加算器114〜118それぞれに相当する処理を行うことができる。
このように、各次のデータを得る処理を一回のクロックで行うことができるので、n次のノイズシェーバ(デルタ−シグマ変調回路)では最低n回のクロックで全ての次数のデータを得ることができる。
図2又は図6の7次デルタ−シグマ変調回路は、図5のPDM信号生成回路のように乗算器101〜111を設けなくて無くてよいので、回路規模を削減することができる。また、容易にデルタ−シグマ変調の次数を上げられるので、ノイズ特性を非常に良好にすることができる。さらに、入力信号のサンプリング周波数を非常に低く抑えることもできる。
また、トータルの処理回数からメインクロックの周波数を算出して、図2又は図6の7次デルタ−シグマ変調回路に設けるROM(図示せず)に必要なアドレスカウンタを作成するとよい。
8fsの期間に左チャンネル用と右チャンネル用にそれぞれ24ステップを必要とする場合は8fs×(24ステップ×2ch)=384fs(16.8688MHz)のクロックが必要となる。このクロックもしくはこのクロックから位相をずらした信号が各レジスタのクロックとなる。図2又は図6の7次デルタ−シグマ変調回路に24進カウンタ(図示せず)を設け、その24進カウンタのカウンタ値が上述したROMのアドレスとなり、ROMにはレジスタのイネーブル信号enable_1〜enable_7、enable_o、及びenable_qと、セレクタの選択信号asel、bsel、csel、regsel_1、及びregsel_2と、シフターのシフト量を制御する制御信号ctl1〜ctl3とを格納しておき、クロック毎に出力するそれらの信号の内容を変更する。そして、シフター14〜16、加算器17、量子化器18は左用チャンネル、右用チャンネルで交互(例えば8fsの信号が“1”の時は左用チャンネル、“0”の時は右用チャンネル等)に利用する。これにより、大幅に回路削減が実現できる。なお、レジスタds1_reg〜ds7_reg、out_reg、及びregと、出力端子OUTとは左用チャンネルに用いるものと右用チャンネルに用いるものをそれぞれ別個に股ける。
図2又は図6の7次デルタ−シグマ変調回路においてレジスタds1_regのレジスタ値、レジスタds2_regのレジスタ値、レジスタds3_regのレジスタ値、レジスタds4_regのレジスタ値、レジスタds5_regのレジスタ値、レジスタds6_regのレジスタ値、レジスタds7_regのレジスタ値、レジスタout_regのレジスタ値(Lch、Rchそれぞれ24ビット幅)に格納されるデータがそれぞれ図5のPDM信号生成回路におけるds1、ds2、ds3、ds4、ds5、ds6、ds7、outに相当する。
レジスタds1_reg〜ds7_reg、out_regにはそれぞれイネーブルが存在し、8fsの期間で一回だけ有効になリデータを保管する構成になっているため、384fs(=16.8688MHz)毎にレジスタが稼動しているわけではないので消費電力には全く影響しない。
上述したように乗算処理はシフター14〜16及び加算器17の組み合わせにより実現できる。そして、その乗算係数は最終的に得ようとする特性に応じて設定する。最終的にレジスタout_regに格納された24ビットのデータは、量子化器18にて47分割されて6ビットのデータに置換される(本実施形態の場合“000000”〜“101110”の計47値)。すなわち入力端子INに入力されたPCM信号をデルタ−シグマ変調し、低ビットのPCM信号に変換したことになる。その低ビットのPCM信号がレジスタregを介して出力端子OUTから出力される。
次に、PWM回路6について説明する。PWM回路6の一構成例を図3に示す。図3のPWM回路6は、入力端子24及び27と、比較器25及び28と、出力端子26及び29と、96進カウンタ30とによって構成される。
入力端子24は図2又は図6の7次デルタ−シグマ変換回路から出力される左チャンネル用6ビットPCM信号S3を入力する。比較器25は入力端子24に入力された左チャンネル用6ビットPCM信号S3と96進カウンタ30のカウンタ値とを比較して左チャンネル用PWM信号S5を生成して出力端子26に送出する。一方、入力端子27は図2又は図6の7次デルタ−シグマ変換回路から出力される右チャンネル用6ビットPCM信号S4を入力する。比較器28は入力端子27に入力された右チャンネル用6ビットPCM信号S4と96進カウンタ30のカウンタ値とを比較して右チャンネル用PWM信号S6を生成して出力端子29に送出する。なお、96進カウンタ30は8fsの期間で96値をカウントする。
比較器25は、左チャンネル用6ビットPCM信号S3に対応する10進数と96進カウンタ30のカウント値とが同値になると“High”レベルへトグルし、左チャンネル用6ビットPCM信号S3に対応する10進数と96進カウンタ30のカウンタ値との和が95になると“Low”レベルにトグルする左チャンネル用PWM信号S5を生成する。また、比較器28は、右チャンネル用6ビットPCM信号S4に対応する10進数と96進カウンタ30のカウント値とが同値になると“High“レベルへトグルし、右チャンネル用6ビットPCM信号S4に対応する10進数と96進カウンタ30のカウンタ値との和が95になると“Low”レベルにトグルする右チャンネル用PWM信号S6を生成する。比較器25及び28がこのように動作するのは、左チャンネル用PWM信号S5及び右チャンネル用PWM信号S6が8fsの期間で“High”レベル又は“Low”レベルに固定されることなく必ず2回はトグルするようにするためである。この場合の96進カウンタ30のクロック信号CKと、96進カウンタ30のカウント値と、PWM信号との関係を図4に示す。
左チャンネル用PWM信号S5及び右チャンネル用PWM信号S6は振幅と出現頻度が一定のパルス信号であり、パルスの時間幅の変化によって信号レベルを表現している。従って左チャンネル用PWM信号S5及び右チャンネル用PWM信号S6は振幅方向に関しては1ビットであるが、時間軸方向に関しては多値である。図4に示すように1周期パターン8fs(=352.8kHz)の時間に対する47値のデータ変換は左右対称に768fs(=33.8688MHz)幅ずつHighレベル区間の幅を変化させたものにあたる。
なお、本実施形態では、デルタ−シグマ変調回路に7次デルタ−シグマ変調回路を用いたが、他の次数のデルタ−シグマ変調回路を用いても構わない。
【産業上の利用可能性】
本発明のデジタル信号処理装置及び音声信号処理再生装置は、オーディオ機器をはじめ、デジタル信号を処理する種々の装置に利用することができる。
【図1】

【図2】

【図3】

【図4】

【図5】

【図6】


【特許請求の範囲】
【請求項1】
加算手段と、
信号に所定の乗算値を乗算する第1の乗算手段と、
前記加算手段の出力信号を遅延させる遅延手段と、
前記遅延手段の出力信号に所定の乗算値を乗算する第2の乗算手段とを備え、
前記加算手段は、前記第1の乗算手段の出力信号と、前記遅延手段の出力信号と、前記第2の乗算手段の反転出力信号とを加算するものであって、
前記加算手段の出力を前記第1の乗算手段及び前記遅延手段に入力するという処理を複数回繰り返し行うことによって信号を処理することを特徴とするデジタル信号処理装置。
【請求項2】
前記加算手段の出力信号を記憶する記憶手段と、
総加算手段と、
量子化手段とを備え、
前記加算手段により加算処理が行われるまでが1ステップであり、
第1ステップにおいて外部からの入力信号を前記第1の乗算手段にて所定の乗算値を乗算した上で前記加算手段に入力し、
第2ステップ以降において前記記憶手段の出力信号を前記第1の乗算手段及び前記遅延手段に入力し、前記加算手段は前記第1の乗算手段の出力信号と、前記遅延手段の出力信号と、前記第2の乗算手段からの反転出力信号とを加算するという処理を複数ステップ行い、
最終ステップにおいて前記記憶手段に記憶された各ステップ毎の前記加算手段の出力信号を前記総加算手段にて総加算した後、前記量子化手段にて前記総加算手段の出力信号を量子化し、前記量子化手段の出力信号を外部に出力するとともに前記第1ステップにおける前記第2の乗算手段に帰還させる請求項1に記載のデジタル信号処理装置。
【請求項3】
前記遅延手段が何番目のステップの信号を出力するかを制御する制御手段を備える請求項2に記載のデジタル信号処理装置。
【請求項4】
前記第2の乗算手段が何番目のステップの信号を出力するかを制御する第2の制御手段を備える請求項2又は請求項3に記載のデジタル信号処理装置。
【請求項5】
前記第1の乗算手段が外部からの入力信号を入力するか、前記加算手段の出力信号を入力するか、信号を入力しないかを制御する第3の制御手段を備える請求項1〜3のいずれかに記載のデジタル信号処理装置。
【請求項6】
前記遅延手段が信号を出力するか否かを制御する第4の制御手段を備える請求項1〜3のいずれかに記載のデジタル信号処理装置。
【請求項7】
前記第2の乗算手段が信号を出力するか否かを制御する第5の制御手段を備える請求項1〜3のいずれかに記載のデジタル信号処理装置。
【請求項8】
前記加算手段が減算処理も行える加減算手段であって、
前記第1の乗算手段が信号に所定の乗算値を乗算する処理を、前記第1の乗算手段が信号に対して所定倍数又は所定倍数の逆数の乗算値を乗算して前記加減算手段に出力し、前記加減算手段が前記第1の乗算手段の出力と前記遅延手段の出力とを加算もしくは減算し、前記遅延手段が前記加減算手段の出力を入力するという演算処理を繰り返し行うことによって、信号に対して所定の乗算係数を乗算する第1の処理に置換すること、
前記第2の乗算手段が信号に所定の乗算値を乗算する処理を、前記第2の乗算手段が信号に対して所定倍数又は所定倍数の逆数の乗算値を乗算して前記加減算手段に出力し、前記加減算手段が前記第2の乗算手段の出力と前記遅延手段の出力とを加算もしくは減算し、前記遅延手段が前記加減算手段の出力を入力するという演算処理を繰り返し行うことによって、信号に対して所定の乗算係数を乗算する第2の処理に置換することの少なくとも一つを行う請求項1に記載のデジタル信号処理装置。
【請求項9】
前記演算処理の繰り返し毎に前記所定倍数又は所定倍数の逆数の乗算値を異なる値にする請求項8に記載のデジタル信号処理装置。
【請求項10】
前記所定の乗算係数を“0”と“1”の2ビットで表現した場合に“1”である桁が3桁以上に渡り連続するときは、前記加減算手段が減算を行う請求項8又は請求項9に記載のデジタル信号処理装置。
【請求項11】
音声信号がパルスコード変調されたPCM信号を入力し、前記PCM信号をデルタ−シグマ変調するデルタ−シグマ変調手段と、
前記デルタ−シグマ変調手段から出力される信号をパルス幅変調して1ビットデジタル信号を生成するPWM手段と、
前記1ビットデジタル信号をアナログ信号に復調する復調手段と、
を備えることを特徴とする音声信号再生装置。
【請求項12】
前記デルタ−シグマ変調手段が請求項1に記載のデジタル信号処理装置である請求項11に記載の音声信号再生装置。
【請求項13】
前記デルタ−シグマ変調手段が、音声信号の左チャンネル用信号と右チャンネル用信号と交互に処理する請求項12に記載の音声信号再生装置。
【請求項14】
ポータブル型音声信号再生装置である請求項11〜13のいずれかに記載の音声信号再生装置。

【国際公開番号】WO2004/040770
【国際公開日】平成16年5月13日(2004.5.13)
【発行日】平成18年3月2日(2006.3.2)
【国際特許分類】
【出願番号】特願2005−501844(P2005−501844)
【国際出願番号】PCT/JP2003/013583
【国際出願日】平成15年10月23日(2003.10.23)
【出願人】(000005049)シャープ株式会社 (33,933)
【Fターム(参考)】