説明

ドライエッチングガスおよびドライエッチング方法

【課題】高アスペクト比のコンタクトホールを形成する、及び低誘電率膜を良好にエッチングする。
【解決手段】CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2を必要に応じてHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種のガスと混合してなるドライエッチングガスプラズマ(例えば、ICP放電電力200-3000W,バイアス電力50-2000W,圧力100mTorr(13.3Pa)以下)で、酸化シリコン膜及び/又は窒化シリコン膜をレジスト、シリコンに対して選択的にエッチングする方法;二重結合を二つ有する一般式(1):CaFbHc(a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表される化合物を少なくともひとつ含むドライエッチングガス及び該ガスプラズマで、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をエッチングするドライエッチング方法。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、ドライエッチングガス、ドライエッチング方法及びレジストパターンよりも微細なコンタクトホールを形成する方法に関する。
【背景技術】
【0002】
半導体デバイスの微細化とともに、ホール径の小さい、高アスペクト比のコンタクトホールが必要になってきた。従来、Arを多量に混合したc-C4F8/Ar(/O2)ガスプラズマでコンタクトホールが形成されることが多かったが、環状C4F8は地球温暖化をさせる効果の高いガスであり、今後の使用が制限される可能性が高い。また、環状C4F8にArを混合しないと、対レジスト選択比、対シリコン選択比がとれず、さらに酸素を微量添加しないと微細なパターンではエッチングがストップしてしまうし、酸素を添加することでレジスト、シリコンに対する選択比が低下する。Arを多量に混合すると高エネルギー電子が多くなり、デバイスにダメージを与える問題も報告されている。
【発明の概要】
【発明が解決しようとする課題】
【0003】
本発明は、高アスペクト比のコンタクトホールを形成でき、また、低誘電率膜などを良好にエッチングできるドライエッチングガスおよびエッチング方法を提供することを目的とする。
【課題を解決するための手段】
【0004】
本発明は、以下の項1〜項11を提供するものである。
項1 CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2からなるドライエッチングガス。
項2 CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2をHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種のガスと混合してなるドライエッチングガス。
項3 CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種のガスプラズマで、酸化シリコン膜及び/又は窒化シリコン膜をレジスト、シリコンに対して選択的にエッチングする方法。
項4 CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種とHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種のガスの混合ガスプラズマで、酸化シリコン膜及び/又は窒化シリコン膜をレジスト、シリコンに対して選択的にエッチングする方法。
項5 ウェハー温度を制御することにより、エッチングガス由来のポリマーをレジスト開口部位置に選択的に堆積させながら、CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種のエッチングガスプラズマでエッチングすることを特徴とするレジストパターンよりも微細なコンタクトホールを形成する方法。
項6 二重結合を二つ有する一般式(1):
CaFbHc(1)
(a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表される化合物を少なくともひとつ含むドライエッチングガス。
項7 CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2などからなるパーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物、
CF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3
CF3CF=C(CF3)CF=CF2などからなる二重結合に直接結合したCF3CF部分と二重結合を二つ持つ化合物、
CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2, CF2=CFCF(CF3)CF=CF2
CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2などからなる主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物及び
CF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2などからなるパーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物
からなる群から選ばれる少なくとも1種のガスからなるドライエッチングガス。
項8
CF2=CFCF=CF2とCF2=CFCF2CF=CF2
CF2=CFCF=CF2とCF3CF=CFCF=CF2
CF2=CFCF=CF2とCF2=C(CF3)CF=CF2
CF2=CFCF=CF2とCF2=C(CF3)C(CF3)=CF2
CF2=CFCF2CF=CF2とCF3CF=CFCF=CF2
CF2=CFCF2CF=CF2とCF2=C(CF3)CF=CF2
CF2=CFCF2CF=CF2とCF2=C(CF3)C(CF3)=CF2
CF3CF=CFCF=CF2とCF2=C(CF3)CF=CF2
CF3CF=CFCF=CF2とCF2=C(CF3)C(CF3)=CF2
CF2=C(CF3)CF=CF2とCF2=C(CF3)C(CF3)=CF2又は
CF3CF=CFCF=CFCF3とCF2=C(CF2CF3)CF=CF2
のいずれかの組み合わせからなるドライエッチングガス。
項9 さらに希ガス、不活性ガス、NH3、H2、炭化水素、O2、酸素化合物、ハロゲン化合物、HFC(Hydrofluorocarbon)及び二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種を含む項1、2、6〜8のいずれかに記載のドライエッチングガス。
項10 さらにHe、Ne、Ar、Xe、Krからなる群から選ばれる希ガス、N2からなる不活性ガス、NH3、H2、CH4、C2H6、C3H8、C2H4、C3H6などからなる炭化水素、O2、CO、CO2、(CF3)2C=O、CF3CFOCF2、CF3OCF3などからなる酸素化合物、CF3I、CF3CF2I、(CF3)2CFI、CF3CF2CF2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3Cl、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2=CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などからなるハロゲン化合物、CH2F2、CHF3、CHF3、CF3CHF2、CHF2CHF2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、CF2=CHF、CHF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=CH2、CH3CF=CH2などからなるHFC(Hydrofluorocarbon)及びCF2=CF2、c-C5F8などからなる二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種のガスを含む項1、2及び6〜10のいずれかに記載のドライエッチングガス。
項11 項1、2及び6〜10のいずれかに記載のドライエッチングガスのガスプラズマで、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
項12 項1、2及び6〜9のいずれかに記載のドライエッチングガスのガスプラズマで、CF+イオンを主とするイオン群と密度の低いフルオロカーボンポリマー膜を形成する高分子ラジカルとのバランスをとって酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
【発明の効果】
【0005】
C4F6(CF2=CFCF=CF2), C5F8(CF3CF=CFCF=CF2)の単独であるいはArやO2を添加した混合ガスでエッチングした場合、対レジスト選択比(SiO2/Resist)、対シリコン選択比(SiO2/Si)が既存ドライエッチングガスよりも良く、サイドエッチングが小さい。これらのガス系ではウエハー温度をコントロールすることによりレジストパターンよりも微細なコンタクトホールを形成できる。
【0006】
該エッチングガスは単独あるいは混合して使用する事により、被エッチング物質の材質に応じて、エッチング効率が低いCF+、エッチング効率が高いCF3+等のイオンやフルオロカーボン膜の密度を調整してエッチングすることができる。一般的には、密度が高いフルオロカーボンポリマー膜を形成するラジカルとエッチング効率の高いCF3+イオンとの組み合わせ、密度が低いフルオロカーボンポリマー膜を形成するラジカルとエッチング効率の低いCF+イオンとの組み合わせができるようなプラズマでエッチングすると良好な結果が得られる。
【0007】
このガス組成の制御で最も効果的なのは、CF3CFフラグメントを有する分子とそうでない分子組み合わせである。これらのガスのガス流量比や圧力比を変えることで実現できる。
【0008】
MSQ(Methylsilsesquioxane)などのシロキサン結合を有する有機高分子材料である有機SOG膜、HSQ(Hydogensilsesquioxane)などの無機絶縁膜およびこれらの多孔質膜などのシリコンを含有する低誘電率膜などのエッチングにおいては、該ドライエッチングガスは特に有効である。これらの低誘電率膜では、構造中にメチルCH3や水素Hと結合した部分を有しているため、酸化シリコン膜のように十分な反応活性層(SiCxFyOzのような層)を形成しにくい。このため密度の高いフルオロカーボンポリマー膜を形成するガスプラズマを用いると反応活性層でのエッチング反応よりもフルオロカーボンポリマー膜形成が優勢になり、エッチング反応が阻害される。該ドライエッチングガスでは形成されるフルオロカーボンポリマー膜の密度が低いため、十分な量のイオンが反応活性層深くまで進入してエッチング反応が進行し、反応生成物もこれらの層から容易に抜け出るため、低誘電率膜において、たとえ十分な反応活性層が形成されなくてもエッチングが阻害されることはない。
【0009】
また、フルオロカーボンポリマー膜の密度が低いため、十分な量のイオンが反応活性層深くまで進入して反応が進行するため、コンタクトホール、ビアホールおよび配線などのサイズが小さなり高アスペクト比パターンになってもエッチング速度が低下する現象(マイクロローディング効果という)が生じにくい。
【発明を実施するための形態】
【0010】
本発明で使用するドライエッチングガスは、分子中に二重結合を二つ有して
一般式(1):
CaFbHc(1)
(a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表される化合物を少なくとも1種をからなる。好ましい一般式(1)の化合物として、具体的には、以下の化合物が例示される。
【0011】
パーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物としては、
CF2=CFCF=CF2, CF2=CHCF=CF2, CHF=CFCF=CF2, CF2=CHCH=CF2,
CF2=CFCF2CF=CF2 , CF2=CHCF2CF=CF2 , CF2=CFCHFCF=CF2 ,
CF2=CHCF2CH=CF2 , CF2=CFCH2CF=CF2 ,
CF2=CFCF2CF2CF=CF2 , CF2=CHCF2CF2CF=CF2 , CF2=CFCHFCF2CH=CF2 , CF2=CHCHFCF2CF=CF2 , CF2=CHCF2CF2CH=CF2 , CF2=CFCH2CF2CF=CF2 , CF2=CFCHFCHFCF=CF2 が好ましい。
【0012】
二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物としては、
CF3CF=CFCF=CF2、CF3CF=CHCF=CF2、CF3CH=CFCF=CF2
CF3CF=CHCH=CF2、CF3CH=CFCH=CF2
CF3CF=CFCF=CFCF3, CF3CF=CHCF=CFCF3, CF3CH=CFCF=CFCF3, CF3CF=CHCH=CFCF3, CF3CH=CHCF=CFCF3,CF3CH=CFCF=CHCF3,
CF2=CFCF2CF=CFCF3, CF2=CHCF2CF=CFCF3, CF2=CFCHF2CF=CFCF3,
CF2=CFCF2CF=CHCF3, CF2=CHCF2CH=CFCF3, CF2=CFCH2CF=CFCF3,
,CF2=CHCF2CF=CHCF3, CF2=CFCHFCF=CHCF3,
CF3CF=C(CF3)CF=CF2, CF3CF=C(CF3)CH=CF2 , CF3CH=C(CF3)CF=CF2 ,
CF3CH=C(CF3)CH=CF2が好ましい。
【0013】
主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物としては、
CF2=C(CF3)CF=CF2, CF2=C(CF3)CH=CF2 , CF2=C(CHF2)CF=CF2 ,
CF2=C(CHF2)CH=CF2,CF2=C(CH2F)CF=CF2,
CF2=C(CF3)C(CF3)=CF、
CF2=C(CHF2)C(CF3)=CF2, CF2=C(CHF2)C(CHF2)=CF2,
CF2=C(CH2F)C(CF3)=CF2,
CF2=CFCF(CF3)CF=CF2 , CF2=CHCF(CF3)CF=CF2 , CF2=CFCH(CF3)CF=CF2 ,
CF2=CFCF(CF3)CH=CF2 , CF2=CHCH(CF3)CF=CF2 ,
CF2=CHCF(CF3)CH=CF2 , CF2=CFCH(CF3)CH=CF2 ,
CF2=CFCF2C(CF3)=CF2, CF2=CHCF2C(CF3)=CF2, CF2=CFCHFC(CF3)=CF2,
CF2=CFCH2C(CF3)=CF2,CF2=CHCHFC(CF3)=CF2,
CF2=CFCF=C(CF3)2 , CF2=CHCF=C(CF3)2 , CF2=CFCF=C(CHF2) (CF3) ,
CF2=CHCH=C(CF3)2 , CF2=CFCF=C(CF3)(CH2F)が好ましい。
【0014】
パーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物としては、
CF3CF2CF=CFCF=CF2, CF3CF2CF=CHCF=CF2, CF3CF2CF=CFCH=CF2,
CF3CF2CF=CHCH=CF2, CF3CF2CH=CHCF=CF2, CF3CF2CH=CFCH=CF2,
CF2=C(CF2CF3)CF=CF2, CF2=C(CF2CF3)CH=CF2, CF2=C(CHFCF3)CF=CF2,
CF2=C(CHFCF3)CH=CF2が好ましい。
【0015】
一般式(1)の化合物において、
aは4〜7の整数、好ましくは4〜6である。
bは1〜12の整数、好ましくは3〜12である。
cは0〜11の整数、好ましくは0〜4である。
【0016】
本発明で使用するエッチングガスは、好ましくは、パーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物
CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2
二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物
CF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3,
CF3CF=C(CF3)CF=CF2
主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物
CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2,CF2=CFCF(CF3)CF=CF2
CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2
パーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物
CF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2
で表される化合物を少なくとも1種;
或いは、
好ましくは、炭素数が5以下の
CF2=CFCF=CF2、CF2=CHCF=CF2、CHF=CFCF=CF2、CF2=CHCH=CF2
CF2=CFCF2CF=CF2, CF2=CHCF2CF=CF2, CF2=CFCHFCF=CF2 ,
CF2=CHCF2CH=CF2, CF2=CFCH2CF=CF2,
二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物
CF3CF=CFCF=CF2、CF3CF=CHCF=CF2、CF3CH=CFCF=CF2
CF3CF=CHCH=CF2、CF3CH=CFCH=CF2
主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物
CF2=C(CF3)CF=CF2, CF2=C(CF3)CH=CF2 , CF2=C(CHF2)CF=CF2 ,
CF2=C(CHF2)CH=CF2,CF2=C(CH2F)CF=CF2,

さらに好ましくは、
パーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物
CF2=CFCF=CF2、CF2=CFCF2CF=CF2,
二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物
CF3CF=CFCF=CF2
主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物
CF2=C(CF3)CF=CF2,
特に好ましくはCF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2を含むエッチングガスである。
【0017】
該ドライエッチングガスは単結合を介して二重結合を二つ有する分子構造を有する。この様な構造を持つ分子の二重結合は安定である。そのため、プラズマ中でも容易に解離せず、プラズマの電子温度を高くする傾向がある。この様なプラズマ中では、例えば、CF2=CFCF=CF2、CF3CF=CFCF=CF2などの二つの二重結合のいずれかの結合が切れると、ひとつの小さいフラグメントCF2、CF3CFは、電子温度が高いため、解離が進行してCF+イオンを生じやすい。ただし、CF3CFからはCF3+が多く発生する。もう一つのフラグメントは二重結合を持っているので安定化し大きなフラグメントのまま存在しやすい。例えば、CF2=CFCF=CF2では左右対称な二重結合なのでCF2とCFCF=CF2に解離し、CF3CF=CFCF=CF2は非対称であるので、CF3CF=CFCFとCF2あるいはCF3CFとCFCF=CF2に解離する。このとき生じる比較的大きなフラグメントCF3CF=CFCF、CFCF=CF2は、これらに由来する比較的大きな、例えばCF3CF=CFCF、CFCF=CF2のようなラジカルを生じる。これらのラジカルは構造上大きく、エッチング中に堆積するフルオロカーボンポリマー膜は立体構造を形成しやすい。そのため堆積したフルオロカーボンポリマー膜は、粗く密度の低い膜になる。
【0018】
実際に誘導結合プラズマ(ICP)において、堆積したフルオロカーボンポリマー膜の表面粗さRa(平均面からの偏差nm)と密度をAFMおよびFT-IRで測定した。表1に、これらの測定結果をc-C4F8およびC3F6(構造CF3CF=CF2)の結果と比較して示した。FT−IRの吸光度はSEMで測定したそれぞれのフルオロカーボン膜の膜厚で規格化した。この値(任意単位、a.u.(arbitrary unit))は膜厚10Å(10原子層以下)中の結合数の比を示しており、これを膜密度として見積もることができる。また、表1にはプラズマのイオン比率(%)とフルオロカーボンポリマー膜の堆積速度も示した。
【0019】
【表1】

【0020】
CF2=CFCF=CF2、CF3CF=CFCF=CF2のガスプラズマではCF+イオンの比率が高い。CF3CF=CFCF=CF2はCF3CFフラグメントを有しているためCF2=CFCF=CF2よりもCF3+を発生しやすく、CF3CFフラグメントに由来するラジカルにより密度の高いフルオロカーボンポリマー膜を堆積させる。同じCF3CF=CF2よりCF3+が少ないことから、CF3CF=CFCF=CF2はCF3CF=CFCFとCF2に優先的に開裂している。また、これらのガスプラズマで形成されるフルオロカーボンポリマー膜は密度が低く、粗い表面を有し、膜堆積速度も大きい。この様な結果はCF3CF=CFCF、CFCF=CF2に由来する高分子ラジカルが多いことを示している。
【0021】
このような知見は、二重結合を二つ有するドライエッチングガスを用いたエッチングの制御に役立つ。酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を選択的にエッチングする場合では、被エッチング物質上にラジカルが堆積して重合したフルオロカーボンポリマー膜にイオンが入射しこれらの相互作用して形成されたエッチング反応活性層でエッチング反応が進行する。これに対して、レジストなどのマスクやシリコンなどの下地では反応活性層が形成されないのでフルオロカーボンポリマーが保護膜を形成する。従って、フルオロカーボン膜の前駆体であるラジカルとエッチング種であるイオン群とのバランスを取ることにより、エッチングの制御が可能となる。イオンと高分子ラジカルのバランスはエッチングガスの分子構造で制御できる。この制御を可能とするため、本発明で示した二重結合を二つ有するドライエッチングガスの主なものを例に挙げて大きく以下の四つに分類した。
【0022】
(1) パーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物;
CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2
(2) 二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物;
CF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3
CF3CF=C(CF3)CF=CF2
(3) 主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物;
CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2,CF2=CFCF(CF3)CF=CF2
CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2
(4) パーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物;
CF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2
【0023】
(1)のパーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物は
上述のように、表1に示したように、エッチング効率が低いCF+イオンとCFCF=CF2由来する高分子ラジカル(骨格の炭素が3個以上のラジカル)による密度の低いフルオロカーボンポリマー膜を形成する。すなわち、分子を大きくし、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2をエッチングガスに用いると、フルオロカーボンポリマー膜はさらに密度の低い膜を形成する。エッチング効率の低いCF+と密度の低いフルオロカーボンポリマー膜により、ダメージの少ないエッチングが可能になる。よってレジストなどのマスクやシリコンなどの下地に対してエッチング選択比を上げることができる。
【0024】
(2)の二重結合に直接結合したCF3CF部分と二重結合二つ持つ化合物でも
上述のように、これらのラジカルは構造上大きく、エッチング中に堆積するフルオロカーボンポリマー膜は立体構造を形成しやすい。そのため堆積したフルオロカーボンポリマー膜は、粗く密度の低い膜になる。しかし、表1に示したようにCF3CFフラグメントからは、エッチング効率が高いCF3+を発生しやすく、CF3CFフラグメントに由来するラジカルにより密度の高いフルオロカーボンポリマー膜を堆積させる。CF3CFの数が増えれば、CF3+イオンは多く発生し、フルオロカーボンポリマー膜の密度はさらに高くなる。膜密度が高い分、エッチング効率の高いCF3+が多く発生しエッチングのバランスをとることができる。
【0025】
(3)の主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物では、枝分かれしているので,さらに大きな立体構造をもつラジカルを発生し、より密度の低いフルオロカーボンポリマー膜を形成する。メチル基からはCF3+イオンも発生しやすい。
【0026】
(4)のパーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物では高分子ラジカルにより密度は中程度のフルオロカーボンポリマー膜を形成し、多少のCF3+イオンも発生する。
【0027】
本発明のドライエッチングガスによるエッチングは、密度の低いフルオロカーボンポリマー膜を形成するラジカルとエッチング効率の小さいCF+イオンのバランスによるエッチングを基本とする。これを基に、これらの(1)〜(4)の化合物を単独あるいは混合して使用する事により、被エッチング物質の材質に応じて、エッチング効率が低いCF+、エッチング効率が高いCF3+等のイオンやフルオロカーボンポリマー膜の密度を調整してエッチングすることができる。これらの化合物を単独で使用しても効果があるが、混合する事により、さらにイオンとラジカルの制御がし易い。一般的には、密度が高いフルオロカーボンポリマー膜を形成するラジカルとエッチング効率の高いCF3+イオンとの組み合わせ、密度が低いフルオロカーボンポリマー膜を形成するラジカルとエッチング効率の低いCF+イオンとの組み合わせができるようなプラズマでエッチングすると良好な結果が得られる。この様に、フルオロカーボンポリマー膜の密度が高くなると、CF3+を多く供給し、フルオロカーボンポリマー膜の密度が低くなるとCF+を多く供給するようにガス組成を制御したプラズマでエッチングすることが重要である。
このガス組成の制御で最も効果的なのは、CF3CFフラグメントを有する分子とそうでない分子との組み合わせである。かかる組み合わせは、これらのガスのガス流量比や圧力比を変えることで実現できる。
【0028】
CF3+イオンを多く発生させたい場合は、側鎖にパーフルオロメチル基-CF3を有する(3)のCF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2,
CF2=CFCF(CF3)CF=CF2、CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2
などが有効である。
【0029】
CF3+イオンを多く発生させ膜密度も高くしたい場合は、(2)のCF3CFフラグメントを多く有するCF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3
CF3CF=C(CF3)CF=CF2などが有効である。
【0030】
膜密度をさげてエッチングしたい場合は、(1)のCF2=CFCF=CF2
CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2などが有効である。
【0031】
膜密度をある程度得て、CF3+イオンを多く発生させたくない場合は(4)のCF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2などが有効である。
【0032】
好ましい組み合わせは
(1)のCF2=CFCF=CF2と(1)のCF2=CFCF2CF=CF2
(1)のCF2=CFCF=CF2と(2)のCF3CF=CFCF=CF2
(1)のCF2=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2
(1)のCF2=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(1)のCF2=CFCF2CF=CF2と(2)のCF3CF=CFCF=CF2
(1)のCF2=CFCF2CF=CF2と(3)のCF2=C(CF3)CF=CF2
(1)のCF2=CFCF2CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2
(2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(3)のCF2=C(CF3)CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(2)のCF3CF=CFCF=CFCF3と(4)のCF2=C(CF2CF3)CF=CF2
【0033】
より好ましいのは(1)および/または(3)と(2)および/または(4)との組み合わせである。
【0034】
具体的には、
(1)のCF2=CFCF=CF2と(2)のCF3CF=CFCF=CF2
(1)のCF2=CFCF2CF=CF2と(2)のCF3CF=CFCF=CF2
(2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)CF=CF2
(2)のCF3CF=CFCF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(3)のCF2=C(CF3)CF=CF2と(3)のCF2=C(CF3)C(CF3)=CF2
(2)のCF3CF=CFCF=CFCF3と(4)のCF2=C(CF2CF3)CF=CF2
などが挙げられる。
【0035】
さらに、同じ分子構造をもち分子中のフッ素Fを水素Hに置き換えた本発明のドライエッチングガスは、プラズマ中でフッ素FをHFとして除去し、炭素濃度の高いフルオロカーボン膜を形成する事から、レジストなどのマスクやシリコンなどの下地に対して、エッチング選択比が得やすくなる。また、Hを含んだガスは分子量が小さいため、エッチング装置にガスとして供給しやすい利点もある。Hはコンタクトホールエッチングにおいてシリコンなどの下地にはダメージ層を形成する問題もあるが、このような問題が生じないそれ以外のプロセス,例えば層間絶縁膜のエッチングなどでは、有効に使用する事ができる。また、HとFを少ない数、例えば、ひとつあるいは二つ置き換えたようなる類似化合物は、置き換える前の化合物の性質をほとんど変えず、エッチング選択比向上と沸点低下の効果を持つ。これにより、ガスラインを加熱して供給しなければならなかった化合物も、加熱なしに容易に供給できるようになる。
【0036】
この様なエッチング選択比の向上や沸点低下の効果は、分子中のどのFをHで置き換えても起こりうるが、エッチング特性を維持したままこのような効果を出すためには、二つの二重結合の間に位置する単結合のFをHに置き換えるのが効果的である。なぜならば二重結合を二つ持つ分子では、主に二重結合に直接結合した分子の両端(CF3CF=やCF2=)の部分からエッチング種を供給しているからである。例えば、CF3CF=CFCF=CF2の場合、プラズマ中でCF3CFとCF2から、それぞれCF3+とCF+をエッチング種として発生する。二つの二重結合の間に位置する単結合のF、すなわち=CFCF=のFを二つ置き換えて=CHCH=としたCF3CF=CHCH=CF2であっても、元のCF3CF=CFCF=CF2のエッチング特性をほとんど損なわず、エッチング選択比の向上や沸点低下の効果を付加する事ができる。
【0037】
また、二重結合に直接結合したCF3CF部分のFをHで置換したCF3H部分から発生するCF3HフラグメントもCF3CFとほぼ同様の効果を持つ。CF3+イオンを多く発生し、CF3CHに由来するラジカルによる密度の高い膜を形成する効果を損なわない。
【0038】
該ドライエッチングガスのプラズマは、例えば、CF2=CFCF=CF2、CF3CF=CFCF=CF2などガスプラズマでは、CF+イオンとCF3CF=CFCF、CFCF=CF2フラグメントから発生する高分子ラジカル(骨格の炭素が3個以上のラジカル)をそれぞれ多く含んでいる。特にガス圧力が低く、CF3CF=や-CF3などを分子構造内に含まない場合はCF+を多く発生する。CF+イオンはエッチング効率が低いため、バイアス電力が多少高くてもレジストなどのマスクやシリコンなどの下地に与えるダメージが少なく、高いエッチング選択比が得られる。CF3CF=CFCF、CFCF=CF2フラグメントから発生するラジカルは、粗く密度の低いフルオロカーボンポリマー膜を形成する。この膜はレジストなどのマスクやシリコンなどの下地を保護しエッチング選択比を向上させるとともに、プラズマ中で被エッチング基板上に堆積し、基板に入射してくるCF+を多く含むイオン群との相互作用により、被エッチング物質(例えば酸化シリコン膜など)と密度の低い反応活性層(例えば酸化シリコン膜の反応活性層はSiCxFyOzのような層)を形成する。
【0039】
この様なエッチング反応活性層や保護膜を形成するフルオロカーボン膜の前駆体であるCF3CF=CFCF、CFCF=CF2フラグメントから発生する高分子ラジカル(骨格の炭素が3個以上のラジカル)とCF+を多く含むイオン群とのバランスを取ることにより、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を選択的にエッチングする。
【0040】
このようなエッチング効率の低いCF+とCF3CF=CFCF、CFCF=CF2フラグメントから発生する高分子ラジカル(骨格の炭素が3個以上のラジカル)との相互作用によるエッチングでは、CF+のエッチング効率は低いが、フルオロカーボンポリマー膜の密度が低いため反応活性層の密度も低い、イオンが反応活性層深くまで進入してエッチング反応が起こる。また、反応生成物はこれらの低密度の膜から容易に脱離するため、エッチング効率が低くても、エッチング速度の低下は起こらない。
【0041】
MSQ(Methylsilsesquioxane)などのシロキサン結合を有する有機高分子材料である有機SOG膜、HSQ(Hydogensilsesquioxane)などの無機絶縁膜およびこれらの多孔質膜などのシリコンを含有する低誘電率膜などのエッチングにおいては、該ドライエッチングガスは特に有効である。これらの低誘電率膜では、構造中にメチルCH3や水素Hと結合した部分を有しているため、酸化シリコン膜のように十分な反応活性層(SiCxFyOzのような層)を形成しにくい。このため密度の高いフルオロカーボンポリマー膜を形成するガスプラズマを用いると反応活性層でのエッチング反応よりもフルオロカーボンポリマー膜形成が優勢になり、エッチング反応が阻害されやすい。該ドライエッチングガスでは形成されるフルオロカーボンポリマー膜の密度が低いため、十分な量のイオンが反応活性層深くまで進入してエッチング反応が進行するとともに、反応生成物も容易にこれらの層から抜け出るため、低誘電率膜において、たとえ十分な反応活性層が形成されなくてもエッチングが阻害されることはない。
【0042】
また、フルオロカーボンポリマー膜の密度が低いため、十分な量のイオンが反応活性層深くまで進入して反応が進行するため、コンタクトホール、ビアホールおよび配線などのサイズが小さくなり高アスペクト比のパターンになってもエッチング速度が低下する現象(マイクロローディング効果という)が生じにくい。
【0043】
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料は、MSQ(Methylsilsesquioxane)などのシロキサン結合を有する有機高分子材料である有機SOG膜、HSQ(Hydogensilsesquioxane)などの無機絶縁膜およびこれらの多孔質膜、SiOFなどの酸化シリコン膜中にF(フッ素)を含有する膜、窒化シリコン膜、SiOC膜などである。より具体的には、HOSP(商品名、Honeywell Electronic Materials 社製)、FOx(商品名、 Dow Corning 社製)、Black Diamond(商品名、アプライドマテリアルズ社製)、コーラル(商品名、Novellus社製)などのlow−K膜(比誘電率が4以下の絶縁膜)などが例示される。また、これらのシリコン系材料は、塗布、CVD(Chemical Vapor Deposition)など方法で膜形成されることが多いが、これ以外の方法で形成した膜であってもよい。
【0044】
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料とは、膜や層構造を持った材料に限らず、シリコンを含む化学的組成を持つ材料で全体がその材料そのもので構成される物質でもよい。例えば、ガラスや石英板などの固体物質がこれに相当する。
【0045】
酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料を、レジストやポリシリコンなどのマスク、シリコン、窒化シリコン、炭化シリコン、シリサイド、金属窒化物などの下地に対して選択的にエッチングすることが可能である。さらに、半導体プロセスにおいては、被エッチング材料であるシリコン系材料層と下地である窒化シリコン膜などのエッチングストッパー膜とを連続して一度にエッチングする必要が起こる場合もあり得る。この様な場合は、レジストなどのマスクのエッチング速度がシリコンなどの下地のエッチング速度よりも小さく、エッチング選択比が大きい条件を選ぶことにより、シリコン系材料層とエッチングストッパー膜などの下地を連続したプロセスの中でエッチングすることが可能となる。
【0046】
He、Ne、Ar、Xe、Krなどの希ガスは、プラズマの電子温度、電子密度を変化させることができ、また、希釈効果もある。この様な希ガスを併用することにより、フルオロカーボンラジカルやフルオロカーボンイオンのバランスをコントロールして、エッチングの適正な条件を決めることができる。
【0047】
N2、H2、NH3を併用することで、低誘電率膜のエッチングにおいて良好なエッチング形状が得られる。例えば、c-C4F8とArの混合ガスにさらにN2を併用して有機SOG膜の低誘電率膜をエッチングした場合、c-C4F8とArとO2を併用した場合したよりもエッチング形状がよいことがS.Uno et al,Proc.Symp.Dry.Process(Tokyo,1999)pp215-220に報告されている。
【0048】
炭化水素とHFCは、プラズマ中で炭素濃度の高いポリマー膜をレジストなどのマスクやシリコンなどの下地に堆積させ選択比を向上させる。また、HFCはそれ自体からもエッチング種となるイオンを発生させる効果もある。
【0049】
本発明のドライエッチングガスは、希ガス、不活性ガス、NH3、H2、炭化水素、O2、酸素化合物、ハロゲン化合物、HFC(Hydrofluorocarbon)及び二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種(以下、「併用ガス成分」ということがある)を混合して使用することができる。
【0050】
H2 、NH3、炭化水素、HFCなどに含まれるHはFラジカルと結合しHFとなりプラズマ系内からFラジカルを取り除く効果があり、Fラジカルとレジストなどのマスクやシリコンなどの下地との反応を減らしエッチング選択比を向上させる。
【0051】
酸素化合物は、CO、CO2や(CF3)2C=Oなどのケトンやアセトン、CF3CFOCF2などのエポキサイド、CF3OCF3などのエーテルのような酸素を含んだ化合物を意味する。これらの酸素化合物やO2を併用することで、過剰なフルオロカーボンポリマー膜を取り除くことができ、微細パターンでエッチング速度が低下すること(マイクロローディング効果という)を抑制し、エッチングがストップするのを防ぐ効果がある。
【0052】
ハロゲン化合物とはCF3I、CF3CF2I、(CF3)2CFI、CF3CF2CF2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3Cl、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2=CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などの化合物のようにフルオロカーボン分子中のフッ素が、臭素、ヨウ素などと置換された化合物とする。フルオロカーボン分子中のフッ素を、塩素、臭素、ヨウ素に置換することにより、結合が弱くなるので高い電子密度と低い電子温度のプラズマを発生しやすくなる。
【0053】
プラズマは電気的に中性であるので,電子密度が高いほどイオン密度も高くなりエッチング速度が増大する。電子温度が低く抑えられると過剰な解離を抑制でき、エッチングに必要なCF2ラジカルやCF3+イオンなどを得やすくなる。この様な効果が最も大きいのがヨウ素化合物である。特開平11-340211号公報、Jpn.J.Appl.Rhys. Vol.39 (2000) pp1583-1596などに示されているように、該ヨウ素化合物は低い電子温度のままで電子密度を上げやすく、これらの中にはエッチング効率の高いCF3+を選択的に発生するものがある。
【0054】
分子中に二重結合を持つHFC、PFCは地球温暖化効果が小さく、プラズマ中で二重結合が解離しやすいため、エッチングに必要なラジカルやイオンを制御しやすい。
【0055】
上記に例示したような併用ガスと混合して使用する場合は、具体的には、He、Ne、Ar、Xe、Krなどの希ガス;N2などの不活性ガス;O2;CO、CO2などの酸素化合物ガス;CF3I、CF3CF2I、(CF3)2CFI、CF3CF2CF2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3Cl、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2=CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などからなるハロゲン化合物;及びCH2F2、CHF3、CHF3、CF3CHF2、CHF2CHF2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、CH3CHF2、CH3CH2F、CF3CF2CF2H、CF3CHFCF3、CHF2CF2CHF2、CF3CF2CH2F、CF2CHFCHF2、CF3CH2CF3、CHF2CF2CH2F、CF3CF2CH3、CF3CH2CHF2、CH3CF2CHF2、CH3CHFCH3、CF2=CHF、CHF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=CH2、CH3CF=CH2などからなるHFC(Hydrofluorocarbon)ガス及びCF2=CF2、c-C5F8などからなる二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種以上の併用ガス成分をエッチングガス成分と混合して使用しても良い。
【0056】
本発明のドライエッチングガスとして、二重結合を二つ有するエッチングガス成分と併用ガス成分からなる混合ガスを使用する場合、通常、エッチングガス成分の少なくとも1種を流量比10%程度以上、併用ガス成分の少なくとも1種を流量比90%程度以下使用する。好ましくはエッチングガス成分の少なくとも1種を流量比20〜99 %程度、併用ガス成分の少なくとも1種のガスを流量比1〜80%程度使用する。好ましい併用ガス成分は、Ne、Ar、Xe、Kr、N2、O2、CO、CO2及びCH2F2からなる群から選ばれる少なくとも1種である。より好ましい併用ガスは、Ar、N2、O2、COである。好ましいエッチング条件を以下に示す:
*ICP放電電力200−3000W、好ましくは400〜2000W;
*バイアス電力50−2000W、好ましくは100〜1000W;
*圧力100mTorr(13.3Pa)以下、好ましくは圧力50mTorr(6.65Pa)以下、より好ましくは2〜10mTorr(0.266〜1.33Pa)
*電子密度109−1013cm-3好ましくは1010−1012cm-3
*電子温度2−9eV好ましくは3−8eV
*ウェハー温度−40〜100℃、好ましくは−30〜50℃。
*チャンバー壁温度−30〜300℃、好ましくは、20〜200℃
レジストパターンよりも微細なコンタクトホールの形成は、ウェハー温度を制御することにより、エッチングガス由来のポリマーをレジスト開口部位置に選択的に堆積させてレジスト開口部を狭めさせながら、CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1のエッチングガスを必要に応じてHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種以上のガスと混合してエッチングすることにより達成できる。
【0057】
レジスト開口部へのポリマーの堆積は、例えばウェハーの温度を−11〜0℃程度に冷却してエッチングを行うことにより実現できるが、この方法に限定されず、いかなる方法によりポリマーを堆積させてもよい。
【0058】
本発明により形成可能なコンタクトホールの直径は、0.1μm前後以上である。
【実施例】
【0059】
以下、本発明を実施例を用いてより詳細に説明する。
【0060】
実施例1
ICP(Inductive Coupled Plasma)放電電力600W,バイアス電力200W,圧力3mTorr(0.399Pa)、電子密度8×1010−2×1011cm-3、電子温度5-7eVのエッチング条件で、環状c-C4F8, C3F6(構造CF3CF=CF2)とC4F6(構造CF2=CFCF=CF2), C5F8(構造CF3CF=CFCF=CF2)で、Si基板上に約1μm厚さのSiO2膜を有し、さらにその上にホール直径0.21μmのレジストパターンを有する半導体基板をエッチングした時のエッチング速度と選択比を以下の表2に示した。
【0061】
C4F6(構造CF2=CFCF=CF2)、C5F8(構造CF3CF=CFCF=CF2)は、c-C4F8, C3F6(構造CF3CF=CF2)よりも対電子ビーム描画用レジスト選択比、対シリコン選択比がいずれも高い。
【0062】
【表2】

【0063】
実施例2
ICP(Inductive Coupled Plasma)放電電力600W,バイアス電力200W,圧力3mTorr(0.399Pa)のエッチング条件で、c-C4F8, C3F6(構造CF3CF=CF2)と直鎖C4F6(構造CF2=CFCF=CF2), 直鎖C5F8(構造CF3CF=CFCF=CF2)の単独のガスプラズマでホール直径0.21μmのレジストパターンを有し、深さ約1μmのSiO2膜を有する半導体基板にコンタクトホールを形成するためにエッチングすると、c-C4F8, C3F6(構造CF3CF=CF2)では、ホール直径0.21μmのレジストパターン開口部が広がって0.43μm以上,アスペクト比2.4以下になるのに対して、直鎖C4F6(構造CF2=CFCF=CF2)では0.21μm, アスペクト比6.3、直鎖C5F8(構造CF3CF=CFCF=CF2)では、0.22μm,アスペクト比4.6でコンタクトホールの側壁がエッチングされないパターンをAr等を添加せずに形成できる。結果を表3に示す。
【0064】
【表3】

【0065】
実施例3
ホール直径0.21μmのレジストパターンにおいて、直鎖C4F6(構造CF2=CFCF=CF2)ガスプラズマ(ICP放電電力600W,バイアス電力150W,圧力4mTorr(0.532Pa))で、ウェハーを-11℃前後に冷却することにより、レジスト開口部に選択的にフルオロカーボン膜を堆積させ、ホール直径0.21μmのレジストパターンを小さくし、直径0.12μm,深さ0.95μm,アスペクト比7.9以上の微細コンタクトホールを形成することができる。
【0066】
直鎖C5F8(構造CF3CF=CFCF=CF2)に流量比50%のArを添加した混合ガスプラズマ(ICP放電電力600W,バイアス電力200W,圧力7mTorr(0.931Pa))においても、ホール直径0.17μmのレジストパターンから、直径0.13μm,深さ1.06μm,アスペクト比8.2以上の微細コンタクトホールを形成することができ、Ar添加量が少ない条件でも微細コンタクトホール形成が可能である。
【0067】
同様に直鎖C4F6(構造CF2=CFCF=CF2)に流量比5%のO2を添加した混合ガスプラズマ(ICP放電電力400W,バイアス電力200W,圧力5mTorr(0.665Pa))で、直径0.10μm,深さ0.99μm,アスペクト比9.9以上の微細コンタクトホールを形成することができ、対電子ビーム描画用レジスト選択比2.3、対シリコン選択比6.4得られ、O2を添加しても選択比が確保でき、微細コンタクトホールを形成できる。結果を表4に示す。
【0068】
【表4】


【特許請求の範囲】
【請求項1】
CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2からなるドライエッチングガス。
【請求項2】
CF3CF=CFCF=CF2及び/又はCF2=CFCF=CF2をHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種のガスと混合してなるドライエッチングガス。
【請求項3】
CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種のガスプラズマで、酸化シリコン膜及び/又は窒化シリコン膜をレジスト、シリコンに対して選択的にエッチングする方法。
【請求項4】
CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種とHe、Ne、Ar、Xe、Kr、O2、CO及びCO2からなる群から選ばれる少なくとも1種のガスの混合ガスプラズマで、酸化シリコン膜及び/又は窒化シリコン膜をレジスト、シリコンに対して選択的にエッチングする方法。
【請求項5】
ウェハー温度を制御することにより、エッチングガス由来のポリマーをレジスト開口部位置に選択的に堆積させながら、CF2=CFCF=CF2及びCF3CF=CFCF=CF2からなる群から選ばれる少なくとも1種のエッチングガスプラズマでエッチングすることを特徴とするレジストパターンよりも微細なコンタクトホールを形成する方法。
【請求項6】
二重結合を二つ有する一般式(1):
CaFbHc(1)
(a=4〜7、b=1〜12、c=0〜11、b+c=2a-2を示す。)で表される化合物を少なくともひとつ含むドライエッチングガス。
【請求項7】
CF2=CFCF=CF2、CF2=CFCF2CF=CF2, CF2=CFCF2CF2CF=CF2などからなるパーフロロメチル基-CF3を有しない二重結合を二つ持つ化合物、
CF3CF=CFCF=CF2、CF3CF=CFCF=CFCF3, CF2=CFCF2CF=CFCF3
CF3CF=C(CF3)CF=CF2などからなる二重結合に直接結合したCF3CF部分と二重結合を二つ持つ化合物、
CF2=C(CF3)CF=CF2, CF2=C(CF3)C(CF3)=CF2, CF2=CFCF(CF3)CF=CF2
CF2=CFCF2C(CF3)=CF2 、CF2=CFCF=C(CF3)2などからなる主鎖から分岐したパーフロロメチル基-CF3を有する二重結合を二つ持つ化合物及び
CF3CF2CF=CFCF=CF2, CF2=C(CF2CF3)CF=CF2などからなるパーフロロメチル基-CF3よりも大きい基を有する二重結合を二つ持つ化合物
からなる群から選ばれる少なくとも1種のガスからなるドライエッチングガス。
【請求項8】
CF2=CFCF=CF2とCF2=CFCF2CF=CF2
CF2=CFCF=CF2とCF3CF=CFCF=CF2
CF2=CFCF=CF2とCF2=C(CF3)CF=CF2
CF2=CFCF=CF2とCF2=C(CF3)C(CF3)=CF2
CF2=CFCF2CF=CF2とCF3CF=CFCF=CF2
CF2=CFCF2CF=CF2とCF2=C(CF3)CF=CF2
CF2=CFCF2CF=CF2とCF2=C(CF3)C(CF3)=CF2
CF3CF=CFCF=CF2とCF2=C(CF3)CF=CF2
CF3CF=CFCF=CF2とCF2=C(CF3)C(CF3)=CF2
CF2=C(CF3)CF=CF2とCF2=C(CF3)C(CF3)=CF2又は
CF3CF=CFCF=CFCF3とCF2=C(CF2CF3)CF=CF2
のいずれかの組み合わせからなるドライエッチングガス。
【請求項9】
さらに希ガス、不活性ガス、NH3、H2、炭化水素、O2、酸素化合物、ハロゲン化合物、HFC(Hydrofluorocarbon)及び二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種を含む請求項1、2、6〜8のいずれかに記載のドライエッチングガス。
【請求項10】
さらにHe、Ne、Ar、Xe、Krからなる群から選ばれる希ガス、N2からなる不活性ガス、NH3、H2、CH4、C2H6、C3H8、C2H4、C3H6などからなる炭化水素、O2、CO、CO2、(CF3)2C=O、CF3CFOCF2、CF3OCF3などからなる酸素化合物、CF3I、CF3CF2I、(CF3)2CFI、CF3CF2CF2I、CF3Br、CF3CF2Br、(CF3)2CFBr、CF3CF2CF2Br、CF3Cl、CF3CF2Cl、(CF3)2CFCl、CF3CF2CF2Cl、CF2=CFI、CF2=CFCl、CF2=CFBr、CF2=CI2、CF2=CCl2、CF2=CBr2などからなるハロゲン化合物、CH2F2、CHF3、CHF3、CF3CHF2、CHF2CHF2、CF3CH2F、CHF2CH2F、CF3CH3、CH2FCH2F、CF2=CHF、CHF=CHF、CH2=CF2、CH2=CHF、CF3CH=CF2、CF3CH=CH2、CH3CF=CH2などからなるHFC(Hydrofluorocarbon)及びCF2=CF2、c-C5F8などからなる二重結合を持つPFC(perfluorocarbon)ガスからなる群から選ばれる少なくとも1種のガスを含む請求項1、2及び6〜9のいずれかに記載のドライエッチングガス。
【請求項11】
請求項1、2及び6〜10のいずれかに記載のドライエッチングガスのガスプラズマで、酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をエッチングすることを特徴とするドライエッチング方法。
【請求項12】
請求項1、2及び6〜10のいずれかに記載のドライエッチングガスのガスプラズマで、CF+イオンを主とするイオン群と密度の低いフルオロカーボンポリマー膜を形成する高分子ラジカルとのバランスをとって酸化シリコン膜及び/又はシリコンを含有する低誘電率膜などのシリコン系材料をエッチングすることを特徴とするドライエッチング方法。

【公開番号】特開2011−44740(P2011−44740A)
【公開日】平成23年3月3日(2011.3.3)
【国際特許分類】
【出願番号】特願2010−256142(P2010−256142)
【出願日】平成22年11月16日(2010.11.16)
【分割の表示】特願2000−339908(P2000−339908)の分割
【原出願日】平成12年11月8日(2000.11.8)
【出願人】(000002853)ダイキン工業株式会社 (7,604)
【Fターム(参考)】