説明

半導体デバイス製造を制御する方法

ウェハ質量の変化によって処理工程が特徴付けられる、それによって、製造の間、1つ以上の処理工程における統計的な処理制御を実現するための測定可能なパラメータとして質量が用いられる、半導体ウェハ製造メトロロジー方法。ある局面において、測定された質量分布の形状は、処理を監視するために、予め定められた特有の質量分布の形状と比較される。処理の制御変数と特有の質量変化との間における、定められた、実験に基づく関係によって、測定された質量分布と特有の質量分布との差が、制御変数について情報を与えることを可能にし得る。別の実施例において、個々の計測された、現在の分布におけるウェハ質量変化の相対的な位置は、一般的な処理問題から独立して、個々のウェハ問題についての情報を与える。

【発明の詳細な説明】
【技術分野】
【0001】
発明の分野
この発明は、半導体ウエハメトロロジーに関する。
【背景技術】
【0002】
発明の背景
マイクロ電子デバイスは、たとえば、堆積技術(CVD、PECVD、PVDなど)および除去技術(化学エッチング、CMPなど)を含む、様々な技術を用いて半導体ウエハ上に製造される。半導体、たとえばシリコンウエハは、たとえばクリーニング、イオンインプランテーション、リソグラフィなどによって質量が変化する方法でさらに処理される。
【0003】
製造されるデバイスに応じて、各ウエハには、最終的作動のために必要な層および構成要素を作り上げるおよび/または除去する、数百の異なるプロセス工程が施される。実際には、各ウエハは、製造ラインを下流に向かって進む。半導体製造の性質は、製造フローにおけるあるプロセス工程または一連のプロセス工程が同様のまたは同じ態様で繰り返され得ることを意味する。たとえば、これは、アクティブ回路の異なる部分を相互に接続するために、金属導体の同様の層を作り上げ得る。
【0004】
その作動が適切に評価されることができる、製造ラインの最後に到達するまでの時間とともに、完成されたシリコンウエハを製造するのに要求されるプロセス工程のコストと複雑性のために、性能の確証と最終的なウエハの生産とを確実にできるように、プロセスの間を通して、製造ライン上の器具の作動と処理されるウエハの品質とを監視することが望まれてきた。
【0005】
1つの半導体ウエハは、多くの異なる技術によって製造された多くの異なるデバイスを含み得る。たとえば、ロジックおよびメモリデバイスは、CMOS製造方法を用いて作られ得る。一方、別のバイポーラおよび複合半導体デバイスは、異なる種類のプレーナトランジスタ製造技術を用いて作られ得る。将来において、いくつかのデバイスが1つのチップ上に一緒に普通に作られたり、別々に作られ、共通のプラットフォームに搭載され、互いに接続されたりし得る。将来のデバイスは、完全なウエハを介して装置が接続される3D集積化技術も用いて作られ得る。
【0006】
典型的な半導体デバイスの製造に含まれる多くのプロセスにおける変動を監視するために統計的工程管理(SPC)を用いることが知られている。これは、いかなる所与のプロセス工程に対しても、プロセス工程の結果を示す一つ以上の測定可能なパラメータに、上限値および下限値を正規分布および平均に基づいて設定すること、および、設定された上限値および下限値内にそれらのパラメータを確実に収めるために、それらのパラメータを、プロセス工程の対象となるサンプル上の(または各々の)1つ以上の測定場所において測定することを含み得る。
【0007】
いくつかのプロセス工程において用いられるSPCの発展は、プロセスを調整する測定値を用いることができる先進的プロセス制御(APC)である。SPC測定値は、後に続くウエハに対する所与のプロセスを調整するためのフィードバックとして用いられ得る。代替的には、SPC測定値は、たとえば、先のプロセス工程における変動を補償するように所与のウエハに対する後の処理工程を調整ためなどのように、情報をフィードフォワードするために用いられ得る。
【0008】
しかしながら、SPCに対して用いられる多くの既存のメトロロジー技術は、ある種の素材または確かにあるプロセスを測定するそれらの能力によって制約される。たとえば、偏光解析法は、光学的に透過可能な膜しか測定できず、不透明な膜に用いることはできない。同様に、低効率プローブは、金属(誘電体でない)しか測定できない。さらに、これらの技術の両方は、堆積層との使用に制約される。それらは、エッチングされた、または埋め込まれた構造を測定できない。
【0009】
周知のSPC測定技術の別の典型的な制約は、製品ウエハを測定することの困難性である。ある事例において、特定の領域(テスト部分)が、プロセス工程の性能をチェックするためにウエハの設計に組み込まれる。そのような領域の問題の1つは、それらは必ずしも実際のデバイス構造に起こったものを表わしているわけではなく、したがって、制約を受けた値であるということである。試験ウエハは代替的な解決策であり、プロセスが試験ウエハについての使用を満たし、したがって、プロセスが製品ウエハについての使用を満たすであろうという前提で、同様のプロセスが試験ウエハ構造上で実行され、測定される。しかしながら、ウエハ製造の増大するコストおよび複雑性は、廃棄される製品ウエハおよび試験ウエハが非経済的になっているということを意味する。さらに、ウエハ上の試験部分は、有用スペースを使い果たすときに好ましくない。すなわち、製造され得るデバイスの数を減らし、もって生産性に影響を与える。
【0010】
WO02/03449において、本願の発明者は、半導体ウエハが加工されたときの質量の変化を非常に正確に測定する方法を開示する。多くの半導体デバイス製造工程の共通の特徴は、素材が加えられたり、取り除かれたりするということである。WO02/03449において、正確は質量測定方法を用いて堆積プロセス工程にSPCが適用され得ることが提案された。
【発明の概要】
【課題を解決するための手段】
【0011】
発明の概要
本発明は、WO02/03449に記載されたアイデアを進歩させたものである。
【0012】
上述したように、多くのウエハ製造(たとえば、ウエハ処理)工程は、ウエハの質量の変化を伴なう。各個々のプロセスが所与のデバイス種類および構造に対してユニークであるため、それらには、たとえば、平均または予想または理想の質量変化に対応する「質量フィンガープリント」が割り当てられ得ることを発明者は発見した。たとえば、ディープシリコンエッチングは、(平均値に基づく)65.53mgの質量フィンガープリントを有し得り、シャロウシリコンエッチングプロセスは、たった3.24mgの質量フィンガープリントを有し得る。異なるデバイスの種類に対して同じプロセスが用いられ得るが、デバイスにおける異なるレイアウトおよび露出されたエッチング領域に対する変化のために、質量フィンガープリントは変わり得る。
【0013】
所与のウエハの種類および装置の種類に対して所与のプロセスが特定されれば、理想的な状態(すなわち、システム的なエラーがない状態)において、質量フィンガープリントは、実行されたプロセスを表わす分布(以下、特有の分布と称する)を示す。このことは、半導体の製造中に実行されたいかなるプロセスにも当てはまる。
【0014】
しかしながら、質量フィンガープリントに対応する特有の分布に対する測定された質量分布と、特有の分布に関連する個々の測定位置との関係は、デバイスの特性、すなわち、フィンガープリントを有するプロセスによって製造された要素の特性を示し得ることに、発明者は気が付いた。言い換えると、プロセスに対して予め定められた特有の質量変化分布を有するウエハの現在のバッチに対する測定された質量変化分布の比較は、別の点では検出することが難しいプロセスについての有用な情報を生み出し得る。たとえば、質量測定値は、厚さ、層均一性、ストイキオメトリ、応力および屈折指数に関係し得る。製造の間、プロセス中に変動があり、これらのパラメータの各々に対して、対応する特有の分布があり得る。プロセスに対する質量フィンガープリントの分布を測定するだけで、これらの分布の全てを取得できると発明者は理解している。さらに、1つ以上のパラメータと質量フィンガープリントとの間の実験に基づく関係が定められると、測定された質量フィンガープリント分布から1つ以上のパラメータの挙動を検出することが可能になり得る。したがって、測定された質量変化分布の挙動をある効果に結び付けることが可能であり得、それによって、その効果に向けた修正を目標にプロセスが調整される。測定された質量変化分布のある挙動は、エラーの発生を示し得る。1つの実施例において、発明のこの局面は、破壊的な態様で直接的にしか測定できないパラメータにおける変化によって注意が与えられるシステムにおいて問題を検出するための手段を提供し得る。定められた実験に基づく関係は、破壊的にしか測定できないパラメータの挙動を示すために用いられる、質量変化の非破壊的な測定値の分布の進化を可能にする。たとえば、製品ウエハ上に形成された薄いSiN膜上の応力の非破壊的な測定を実行することは不可能である。しかしながら、応力分布とその対応する特有の質量変化との間の、事前に確証された実験に基づく関係は、応力の変化を、測定された質量変化分布における変化として検出することを可能にし得る。
【0015】
実際には、測定された質量変化分布と特有の質量変化分布との純粋な比較に基づいて、すなわち、対応するパラメータ分布を直接算出したり、対応するパラメータ分布に変換したり何等せずに、プロセスが制御され得る。確かに、リアクタ圧力変化などの問題またはクリーニングに対する要求が多くのパラメータにおいて明白である。そのような問題は、測定された質量変化分布の特性(たとえばスキュー、平均のドリフト、ブロードニングまたはナローイング)自体と、プロセスを評価するための特有の質量変化分布とを比較することによって検出され得る。
【0016】
提案された方法の特有の利点は、予め定められたプロセス制御制限値が破られる前に、決定され実現されるようにプロセスを調整することが可能であることである。言い換えると、方法は、所与の分布の制御制限値の範囲内にあるが、プロセスにおける問題の発生を示す質量測定パターンを特定するために用いられ得る。
【0017】
代替的に、または付加的に、現在の質量変化分布内での(たとえば1つの半導体ウエハに対する)個々の質量変化測定値の位置は、ウエハについての情報を提供し得る。ここで、現在の質量変化分布は、個々の質量変化測定が行なわれる前にプロセスが施される複数のウエハの測定された質量変化から構成される質量変化分布であり得る。周知のSPC技術において、1つ以上の半導体ウエハの測定値は、たとえば特有の分布に対して固定された位置において設定された静的な制御制限値と比較されるが、本発明においては、分布内の測定値の相対的な位置が検出される。この相対的は位置は、その個々のウエハの問題を示し得る。そのような問題は、分布の全体的な挙動に影響を与える問題とは独立し得る。したがって、発明は、そうでなければ検出されない問題の検出を促進する。たとえば、分布の平均が特有の平均を下回る状況において、現在の分布における高い異常値が、特有の分布の制御制限値内にあり、したがって、許容されるとみなされる一方で、現在の分布との比較は、そのウエハに問題が生じたことを示し得る。
【0018】
たとえば、後に続くウエハが問題を繰り返さないようにすることを確実にするために(フィードバック)そのプロセスの、または、そのウエハにおいて補償するために(フィードフォワード)将来のプロセスのパラメータを調整することによって、個々のウエハにおいて検出された問題に対処するために救済的な対応が取られ得る。言い換えると、発明は、APCシステムの一部として用いられ得る。1つの局面において、将来のプロセス工程が所与のプロセス工程における変動を補償することができるようにするために比較が用いられ得る。別の局面において、所与のプロセス工程に対して測定された分布の制限をきつくすることが好ましい場合、比較は、機能不全のまたは欠陥のあるウエハの特定を促進する。
【0019】
最も一般的なもので、発明は、1つ以上のプロセス工程がウエハの質量の変化によって特徴付けられる半導体ウエハ製造のためのメトロロジーを提供し、それによって、製造の間、1つ上のプロセス工程での統計的なプロセス制御を実現するための想定可能なパラメータとして質量が用いられる。この方法の1つの利点は、複数の異なるプロセス工程を監視するために、同じ測定器具が用いられ得るということである。プロセスに影響を与える1つ上の異なる制御変数における変化は、測定された質量変化分布においてプロセス自体を明らかにし得る。重要な変数は、層の厚さ、層の均一性、ストイキオメトリ、応力および屈折率を含み得る。発明は、測定された質量変化分布の挙動を監視することを通じて暗示的に、または、制御変数と特有の質量変化との間の実験に基づく関係を確証することによって明示的に、これらの変数を検出できるようにし、それによって、測定された質量変化分布の挙動が制御変数の挙動を示す。
【0020】
発明の1つの局面によれば、半導体ウエハ製造プロセスに対する特有の質量変化分布を取得するステップと、プロセスが施される複数の半導体ウエハの質量の変化を測定するステップと、プロセスを監視するために、複数の半導体ウエハの測定された質量変化から構成される測定された質量変化分布の形状と、特有の質量変化分布の形状とを比較するステップとを含む、統計的プロセス制御(SPC)の方法が提供される。この局面は、「現在」、すなわち最近取得された分布と、特有の、たとえば理想的な分布とを、プロセスにおける問題を特定するために比較することによって、任意の製造プロセス工程の有効性を監視する方法を提供し得る。たとえば、現在の分布の平均は、特有の分布の平均から外れる。これは、プロセスが効果的に実行されていないことを示すことができ、そして、適切な救済的な対応をとることができる。方法の利点は、効果的な態様で、すなわち、プロセスによって不十分なウエハが製造される前であるが、製造プロセスを不必要に中断させるほどではない頻度で、救済的な対応をとることができることである。
【0021】
この局面において、分布の特性は、個々の測定値を用いて比較するというよりも、比較される。たとえば、測定された質量変化分布の形状と特有の質量変化分布の形状とを比較するステップは、測定された質量変化分布と特有の質量変化分布との間の相対的なブロードニング、相対的なナローイングまたはスキューのうちのいずれか1つ以上を検出するステプを含み得る。
【0022】
代替的にまたは付加的に、方法は、測定された質量変化分布の平均を取得するステップと、取得された平均と特有の分布の平均とを比較するステップとを含み得る。
【0023】
ある時点での測定された質量変化分布は、その時点の直前の期間に測定された複数の質量変化から構成される。測定された質量変化分布は、予め定められた(たとえば固定された)数の測定された質量変化、またはその時点の直前の予め定められた期間において取得された全ての測定された質量変化から構成され得る。方法は、たとえば最も最近に測定された複数の質量変化を選択したり、新しい時点を設定したりすることによって、測定された質量変化分布を定期的に更新するステップを含み得る。
【0024】
別の局面において、発明は、半導体ウエハ製造プロセスが施される複数の半導体ウエハの各々について質量の変化を測定するステップと、ある時点において、その時点の直前の期間において測定された複数の測定された質量変化から構成される現在の測定された質量変化分布を取得するステップと、その時点の後でプロセスが施される1つ以上の半導体ウエハの質量の変化を後に続いて測定するステップと、プロセスを監視するために、後に続いて測定された質量の変化を、現在の測定された質量変化分布と比較するステップとを含む、統計的プロセス制御(SPC)の方法を提供し得る。この局面において、現在の分布における個々の測定値の位置が判定される。この相対的な位置は、特定のウエハの問題を示し得り、よって、測定された質量変化分布と特有の質量変化分布との比較によって検出される問題とは異なる態様で対処され得る。
【0025】
測定された質量変化分布は、第1の局面に対するものと同じ態様で取得されうる。
後に続いて測定された質量の変化と現在の測定された質量変化分布とを比較するステップは、後に続いて測定された質量の変化と現在の測定された質量変化分布の平均との差を判定するステップを含み得る。これにより、現在の分布の異常値が検出可能になり得る。
【0026】
発想の発展において、方法は、プロセスの制御変数と特有の質量変化との間の実験に基づく関係を判定するステップと、実験に基づく関係、および、測定された質量変化分布の形状と特有の質量変化分布の形状との比較に基づいて制御変数の挙動の指標を取得するステップとを含み得る。制御変数は、たとえば、付加された(たとえば堆積された)、またはプロセスによって取り除かれた素材に関連する測定されたウエハの特性、たとえば層の厚さ、層の均一性、ドーピング濃度、含水率、ストイキオメトリ、応力および屈折率であり得る。制御変数における変化によって測定された質量変化分布の挙動がどのように影響をうけるかについての知識は、(たとえば、もしウエハに制御変数が、製造装置が洗浄を必要としていることを示す場合等において)後に続くウエハに対するプロセスを調整したり、たとえば制御変数における変化を補償するために、ウエハに対する将来のプロセス工程を変更したりするために用いられ得る。
【0027】
1つの実施例において、方法は、質量変化測定値に対する制御上限値および制御下限値を設定するために実験に基づく関係を用いるステップを含み得る。
【0028】
特有の質量変化分布は、製品ウエハの製造にプロセスが組み込まれる前にプロセスの詳細な調査から生じ得る。調査は、プロセスにおける変動と、質量変化との相関関係を取得することを含み得る。調査の間、プロセスにおける変動は、たとえば、製品ウエハに対しては適切ではない破壊的な技術を用いて、確実に測定される。この方法において、特有の質量変化分布は、非破壊的な質量変化測定値(たとえば、製品ウエハに対する使用に適切な測定値)を、非破壊的な態様では直接判定することができないプロセスの特性に一致させる方法として効果的に使用される。しかしながら、そのような関係を取得することは不可欠ではなく、プロセスの問題との経験に基づいて、測定された質量変化分布における変化が直接関連付けられ得る。
【0029】
たとえば、CMOSゲートアプリケーションに対して、高い誘電率(k)の値を用いて素材(たとえば、ハフニウムシリコンオキサイド)を堆積するとき、堆積層のストイキオメトリは、層の特性および挙動に影響を与える主要な要素である。堆積層が所望の特性を有することを確かにするためには、プロセスを監視することが望ましい。しかしながら、高k膜は不透明であるため、偏光解析法を用いてそれらを測定することはできない。さらに、薄い(たとえば約3nm[30Å])ゲート厚さが用いられた場合、そのような膜は、少なくとも20nm(200Å)の膜厚さを典型的には必要とする、X線反射率(XRR)またはラザフォード後方散乱分光法(RBS)などの技術からの分析には役に立たない。しかも、これらの後者の技術は破壊的であり、製品ウエハには用いることができない。
【0030】
本発明は、この問題を克服するために用いられ得る。1つの実施例において、高k膜の組成物(たとえば、HF、SiおよびOの3つの構成物質の%にて)と、膜密度とを比較することによって、ストイキオメトリと特有の質量変化との間で、実験に基づく関係が取得される。加えて、様々な膜組成物に対する厚さ(および均一性等)に対する許容範囲が調査される。これらの因子の全てが、プロセスに対する質量変化分布に影響を与えるため、調査に基づいて、申し分のない組成物および厚さを有する層を堆積するプロセスによって生じせしめられる、高い確率(たとえば、>95%、好ましくは>99%)を有する質量変化のバンドを割当てることができる。上述された調査は、プロセスにおけるどの異常が、質量変化を許容バンドよりも上または下にせし得るかを明示する。これは、許容バンド外の質量変化が生じるであろうことを特定し、理想的ではない製品ウエハの速やかな特定を可能にしたり、または、後に続く処理工程の間における問題の修正を可能にする。この構想は、後に続く処理工程を微調整するために、許容バンド内の測定された質量変化の位置に適用され得る。したがって、特有の質量変化分布を確証することは、制御上限値および制御下限値を設定できるように、プロセスパラメータと特有の質量変化との間の1つ異常の関係を判定するためにプロセス工程を調査することを含み得る。
【0031】
本発明が適用されうるプロセスの別の例は、ゲートエッチングである。ゲートエッチングに対する典型的な許容値は、300mmの直径のウエハに対して4nmよりも小さい。プロセスが設定された仕様内にあるか否かを判定するために(たとえば走査型電子顕微鏡を用いて)45nmのゲート幅を直接観察することは困難であり、時間がかかり、かつ破壊的である。しかしながら、300mmのウエハ上には、1000kmのゲート長さがあり得るため、ナノメートル単位での構成の幅の変化は、測定可能な質量の変化をもたらし得る。この場合、ゲート幅と特有の質量分布との間で実験に基づく関係を確証することは、走査型電子顕微鏡(SEM)を解して観察され、質量変化とゲート幅との間の関係を判定するためにそれらの質量変化が測定される複数のゲートエッチを生成することを含み得る。関係は、グラフを使って表され得る。発想の発展において、変動が小さすぎてSEMを介しては直接監視することができない臨界的な寸法についての質量変化の洞察力を得るために、関係が推定すらされ得る。
【0032】
半導体ウエハの質量の変化を測定することは、プロセスの前後で取得された質量値間の差を判定することを含み得る。質量値は、計量チャンバ内で各ウエハの重さを計測し、チャンバ内の大気によって各ウエハに与えられる浮力を補償することによって取得され得る。大気の浮力を補償することは、WO02/03449に開示された任意の態様で達成される。約128gの重さの典型的な300mmのウエハは、約45mgに匹敵する浮力を受け得る。この力の強さは、比較的短時間で10〜20%(すなわち、4〜6mg)変わり得る。
【0033】
複数の個々の工程は、特有の質量変化分布を常に示すため、発明は、単一の除去(すなわちエッチング)または堆積プロセス、もしくはプロセス工程の組み合わせに適用可能であり得る。たとえば、発明は、デュアルダマシン構造の製造の配線工程(BEoL)における様々なプロセスに提供可能であり得る。発明は、薄膜(すなわち、50nm[500Å]よりも薄い膜)の物理的気相成長法(PVD)を監視するため、ゲートまたはキャパシタ構造に対する(誘導および/または金属物質)の堆積膜の生成を監視するため、(たとえば、DRAM構造の製造において)ブラインドトレンチまたはディープトレンチの製造を監視するため、または、シャロウエッチプロセスもしくはポリマー除去工程を監視するためにも用いられ得る。発明は、(たとえば、質量変化がAr、PまたはB原子をシリコン基板に加えたことにより生じた場合)、ドーピング濃度を監視するために用いられ得る。同様に、発明は、水分吸収、吸収および/または基板上に形成された膜からの脱離により生じた質量変化を監視することが可能であり得る。低k値を有する膜の含水率は、重要な因子であり得る。1つの実施例において、発明は、含水率を所望の範囲にするために堆積された誘電物質(たとえば、スピンオンウェット物質)に対して必要な熱力の範囲を決定するために用いられ得る。発明は、非常に薄い層(たとえば、1nmよりも薄い[10Åよりも薄い」)がシリコン基板から、たとえば周知の湿式化学技術によって除去されるデバイス製造準備において用いられる洗浄プロセスを監視するためにも用いられ得る。この場合の質量変化は、非常に小さいものであり得る。したがって、精度を向上するために、各ウエハに対して複数の測定値が取得され得る。そして、それらの測定値の平均が、特有の質量変化分布との比較のために用いられる。この技術は、質量変化が非常に小さい、すなわち、質量変化が測定器具の再現制限以上であるプロセスに対して、一般的に適用可能であり得る。
【0034】
さらなる発展において、方法の発明は、質量メトロロジーを通じて取得された制御変数の指標と、別(非質量)のメトロロジー測定によって取得された、プロセスについての情報、好ましくは制御変数についての情報とを比較するステップを含み得る。別のメトロロジー測定は、製品ウエハに対して効果的に用いることができるように、非破壊的なものであり得る。質量メトロロジー技術を別のメトロロジー出力との組み合わせて用いることにより、そうでなければ検出されないままであろう異常またはエラーを抽出することができ得る。たとえば、ある種類に膜の厚さおよび不均一性を判定するために、偏光解析法を用いることができる。ある実施例において、偏光解析法データは、特定の位置(すなわち平坦な領域)で測定された、堆積された膜が良いことを示し得るが、質量変化測定が望まれるバンドにないことがあり得、それは、偏光解析法によって測定できない場所において問題があること、すなわち、デバイスが製造された場所のギャップにおける膜の範囲に問題があり得ることを示し得る。そのような問題は、偏光解析法だけを用いた場合には検出されないかも知れない。
【0035】
上述した発明の局面は、長期間のプロセスの問題を検出するために分布が比較され、個々の製品ウエハを検出するために分布内の個々の測定値の相対位置が判定される方法を提供するために組み合わされる。
【0036】
図面の簡単な説明
発明の例は、添付の図面を参照して以下に詳細に説明される。
【図面の簡単な説明】
【0037】
【図1】本発明が適用され得る様々なプロセスに関連付けられた質量変化を示す概略的なフロー図である。
【図2】本発明が適用され得る半導体ウエハプロセスの典型的な前半部(FEoL)における個々のプロセス工程に関連付けられた質量変化を示す詳細なフロー図である。
【図3】本発明における使用のための特有の質量変化分布の概図である。
【図4】発明の実施例である特有の分布と相対的な、測定された質量変化分布の監視を示すグラフである。
【図5】発明の別の実施例である特有の分布にと相対的な、測定された質量変化分布の監視を示す別のグラフである。
【図6】MOS素材における機械的な応力の影響を示す略図である。
【図7】MOSチャネルにおける引張または圧縮を生じさせる応力を、ナイトライドオーバーレイヤがどのようにして与えるかを描いた概略図である。
【図8】高応力ナイトライド膜が適用されたMOSFET構造の断面図である。
【図9】n−MOSおよびp−MOSデバイスに適用される応力付与膜の概略断面図である。
【図10】与えられた応力と、異なる温度で堆積された様々なシリコンナイトライド膜のSi−N比との間の関係を示すグラフである。
【図11】与えられた応力と、様々なシリコンナイトライド膜の密度との間の関係を示すグラフである。
【図12】暗電流と、様々な堆積された様々なシリコンナイトライド膜の密度との間の関係を示すグラフである。
【図13】発明の実施例である特有の分布と相対的な、シリコンナイトライド膜に対する測定された質量変化分布の監視を示すグラフである。
【図14】本発明を用いてその製造が監視され得る半導体デバイスを通した概略断面図である。
【図15A】金属間誘電体(IMD)層の税増を示す概略的なフローチャートである。
【図15B】金属間誘電体(IMD)層の税増を示す概略的なフローチャートである。
【図15C】金属間誘電体(IMD)層の税増を示す概略的なフローチャートである。
【図16】図15に示される製造プロセスに本発明をどのように適用できるかを示す概略的なフローチャートである。
【図17】異なる露出領域に対する、図15に示されるプロセス工程についての質量変化を示すグラフである。
【図18】本発明を用いてその製造が監視され得るデュアルダマシン半導体デバイスを通した概略断面図である。
【図19A】図18に示される製造プロセスに本発明をどのように適用できるかを示す概略的なフローチャートである。
【図19B】図18に示される製造プロセスに本発明をどのように適用できるかを示す概略的なフローチャートである。
【図19C】図18に示される製造プロセスに本発明をどのように適用できるかを示す概略的なフローチャートである。
【図19D】図18に示される製造プロセスに本発明をどのように適用できるかを示す概略的なフローチャートである。
【図20】図19に示される製造プロセスに本発明がをどのように適用できるかを示す概略的なフローチャートである。
【図21】異なる露出領域に対する、図19に示されたプロセス工程についての思料変化を示すグラフである。
【発明を実施するための形態】
【0038】
詳細な説明;さらなる選択肢および選好
図1は、半導体製造プロセスにおける全ての工程が質量の変化を伴なうという考えを概略的に示す。さらに、各プロセスは、それ自身の分布を有数する特有の質量変化を有するであろう。図1におけるチャートは、半導体ウエハの(y軸上の、ノンスケールの)質量が、施される製造プロセスの種類に応じてどのように変化し得るかを示す。したがって、プラズマ化学気相成長法(PECVD)は質量を増加させ、化学機械研磨(CMP)およびエッチ処理は質量を減少させ、物理気相成長法(PVD)および原子層堆積(ALD)は質量を増加させる。各々の質量変化は異なる強度を有する。正確な質量計測により、これらの変化から、処理された複数の半導体ウエハに対して測定された質量変化分布を生成することが可能になり得る。個々の質量変化測定値または測定された質量変化分布を、所与のプロセス工程に対する特有の質量変化分布と比較することにより、プロセスを監視することができる。
【0039】
図2は、図1と同様のチャートであるが、今回は、実際の状態に対する典型的なプロセスフロー、この場合では、130nmの論理デバイスに対するFEoLプロセスフローを示す。同じメトロロジー技術(もし都合がよければ、まさに同じメトロロジー装置)が、このプロセスフローにおける各工程において統計的プロセス制御(SPC)またはアドバンスドプロセス制御(APC)を実行可能にするために用いられ得ることを発明者は認識している。これは、自身の測定技術によって素材のプロセスのある種類に限定されている従来のSPCまたはAPCを超えた工程である。
【0040】
基本的に、ここで提案されるプロセス制御は、所与のプロセス工程に先立って取得される特有の質量変化分布と実際の製造の間に取得される、測定された質量変化の比較を中心に展開する。所与のプロセスによって生じせしめられる質量変化は、制御不要な無作為の変数のためにウエハ毎に必然的に変動する。特有の質量変化分布は、通常の分布の代表し、実験によって取得され得る。測定された質量変化はx軸上に、頻度はy軸上にプロットされる。通常の分布を作成するために数千の測定値が取得される。平均値(すなわち、質量変化フィンガープリント値)および標準偏差σもまた算出され得る。
【0041】
図4は、発明の1つの実施例において特有の質量変化分布がどのように用いられ得るかを示すグラフである。このグラフにおいては、ディープシリコンエッチプロセスの間に取得された実際の質量変化測定値がプロットされ、測定された質量変化がy軸に、(処理の順の)ウエハ番号がx軸にある。したがって、プロットされた点は、経時的な実際のプロセスの挙動を追う。この実施例において、特有の質量変化分布は、仕様上限値10、仕様下限値12、制御上限値14および制御下限値16を設定するために用いられる。これらの各々は、特有の質量変化分布上のある点に対応する質量値である。
【0042】
【数1】

【0043】
制御上下限値14,16は、測定誤差のマージンを持たせて、仕様制限値内で与えられる。全ての4つの制限値は、図4のグラフ上の水平線として表わされる。この実施例において、仕様上下限値10,12によって定められた仕様域の外で取得された測定値(たとえば、測定値18)は、プロセス工程における不具合または不良を示す。その測定値に対応するウエハは廃棄され得る。仕様域内で取得されたが、制御上下限値14,16によって定められた制御域外で取得された測定には、たとえば、プロセスに対して測定された分布を向上するように試みるために、さらなる調査のためにタグ付けされ得る。
【0044】
この実施例においては、経時的な、測定された質量変化分布の挙動、特に、測定された質量変化値の平均値(図4において破線20で示される)を監視することも可能である。この実施例において、測定された質量の平均値はゆるやかに変化する(質量変化が時間の経過とともに徐々に減少する)。これは、プロセス工程が行なわれるチャンバ内で作り上げられたポリマーのためである。図4に示すように、不具合を示す測定値18に続いてチャンが洗浄される。この実施例の変形例において、チャンバの洗浄は、測定された質量変化の平均値が予め定められたしきい値に到達したことによりなされてもよい。この利点は、不具合率を低減し得るということである。したがって、ウエハを解体したり、試験ウエハを用いたりせずに、実際の製品ウエハの測定を利用してプロセスの問題がどのようにして特定され得るか(そして、ひょっとしたら解決され得るか)が一般的に理解され得る。
【0045】
図5は、特有の質量変化分布が発明の別の実施例においてどのように用いられ得るかを示すグラフである。この実施例において、プロセスは、薄TaN層の原子層堆積である。グラフは、図4と同じ方法で、計測された質量変化(y軸)をウエハ番号(x軸)に対してプロットする。特有の質量変化分布は、図4に示されるように、仕様上下限値30,32および制御上下限値34,36とを与えるために用いられる。
【0046】
この実施例において、仕様下限値よりも小さい測定値38のグループが測定される。これは、堆積層がより薄いということ、または、覆うためのTaNに対して外形を作るエッチの表面領域が小さいこと(すなわち、前回のエッチング工程で取り除かれた素材が少ないこと)を意味し得る。いずれにしても、そうでなければ検出されていなかったであろう製品ウエハにおいて問題が特定された。従来のメトロロジー技術は、製品ウエハ上の5nm(50Å)のTaN層を測定する方法は提供しない。
【0047】
ここで説明される実施例において、質量測定値は、大気の浮力を考慮して補償され得る。したがって、測定は、チャンバ内に囲まれたウエハホルダと計量器具とを含む装置において実施され得る。ウエハホルダは、チャンバの上部に、計量器具は下部に位置し得る。2つの部分は、ウエハホルダの容積を低減するために周知の態様(たとえばWO02/03449)で(接続メンバに対する穴を通って)隔てられ、それによって気流が低減される。チャンバは、温度センサ、湿度センサおよび圧力センサを含み得る。センサは、検知要素がチャンバの上部に位置するように、ウエハホルダに取付けられる。圧力センサは、ドラックのPMP4010ABであり得る。温度センサおよび湿度センサは、たとえばピコのRH02のように組合され得る。これらのセンサによって取得された測定値は、たとえば、
【0048】
【数2】

【0049】
図6〜13は、半導体デバイスの製造において作られるシリコンナイトライド(SiN)層の影響を監視するための本発明の使用を描く。SiN膜は、半導体デバイスが包装される前に半導体でアイスのパッシベーションのために用いられ、ストレインドゲートアプリケーションにおいて引張力を与える。両方のアプリケーションにおいて、プロセスの性能の再現性は、最適なデバイス性能にとって重要である。本発明は、実際の製品ウエハに用いることができ(すなわち、試験ウエハまたは製品ウエハ上の試験部分を必要とせず)、測定から即座に、すなわち付加的な試験工程を必要とせずに、不良デバイスを特定することができる。
【0050】
図6は、従来のnMOSおよびpMOSデバイス(概略的に示される)において、それらのチャネル内でのキャリアの移動性を向上する機械応力を描く。引張に対する移動性の強い異方性の感度が知られている。SiNコンタクトエッチストップ層(CESL)における応力の強度は、nMOSまたはpMOSデバイスのチャネルにおいて取得可能な駆動電流に直接的な影響を与える。図7における図は、2軸引張されたナイトライドオーバーレイヤがどのように張力をオーバーレイヤからソース/ドレインそしてチャネルに伝えることができるかを示す。図7における下側の図において、オーバーレイヤは、チャンネルにおいて単一軸のアシュクを生じさせるように圧縮される。引張の強度および方向は、プロセス引張エンジニアリングを介して制御され得る。
【0051】
図8は、高応力ナイトライドオーバーレイヤがゲート電極46上に作られるMOS構造の断面図である。NiSiのカバーレイヤ44およびスペーサ42は、ゲート電極46の上部および側部を夫々オーバーレイヤ40から隔てる。応力は、オーバーレイヤ40からカバーレイヤ44およびスペーサ42に伝達される。
【0052】
図9は、引張のコンタクトエッチストップ層(tCESL)54を有するnMOS54が、圧縮のコンタクトエッチストップ層(cCESL)56を有するpMOS56の横に配置されている半導体デバイスの概略的な断面図を示す。
【0053】
SiNオーバーレイヤによって与えられる応力は、堆積プロセスのパラメータを制御することによって圧縮応力または引張応力になるように制御される。図10は、異なる堆積温度で堆積されたSiN層における、Si対Nの比と応力との関係を示す。シリコンが多いSiNは、引張膜を与え得ることが見て取れる。しかしながら、薄膜およびブランケットウエハにおける応力を直接測定することは比較的簡単ではあるものの、そのような技術は、典型的はさらにもっと薄いSiN層を有する製品ウエハに転用することはできない。
【0054】
本発明者は、本発明の質量メトロロジー技術を用いることによって、応力の間接的な表示を得るために、応力と膜密度との関係を用いることが可能であることに気が付いた。図11は、SiN膜における応力の分布とその膜の質量(すなわち、その膜の堆積に伴なう質量変化)との実験に基づく関係を判定するのに有用なグラフである。図11のグラムは、圧縮性のSiN膜が引張性の膜よりも高い密度を有することを示し、これは、応力の変化が質量の変化上にマップされ得ることを示す。この実験に基づく関係は、測定された質量変化分布と、SiN層の堆積に特有の質量変化分布との形状の差において、応力の変化がどのようにして明示されるかを示すために用いられ得る。測定された質量変化分布は、一部分において、堆積された膜における応力を表わすものであると考えられ得る。上述した制御制限値は、製品ウエハについて測定された質量変化がSiN層堆積のSPCに用いることができるように設定され得る。
【0055】
上述したように、シリコンナイトライド膜は、包装前の半導体デバイスのパッシベーションのために時折用いられる。パッシベーションの目的は、静的デバイスにおいて暗電流を最小限にすることである。SiNコートされたウエハは、パッシベーションが施されないウエハよりも少ない暗電流を有する。しかしながら、パッシベーションが施されたウエハにおいて、暗電流は、SiN膜内の水素の存在によって促進され得る。堆積プロセスの制御により水素含有量を低減できるが、実際の製品ウエハにおいて水素含有量または暗電流を監視するための容易な方法はなかった。
【0056】
図12は、SiNパッシベーション層の暗電流と密度との関係を示すグラフである。この関係に基づき、発明者は、本発明の質量メトロロジー技術を用いることによって、水素含有量の間接的な表示を得ることが(すなわち、暗電流の抑制を向上することが)可能であると気が付いた。上述した制御制限値は、製品ウエハに対する測定された質量変化をSiN層堆積のSPCに用いることができるように設定され得る。パッシベーション層アプリケーションおよびストレインド層アプリケーションについての特有の質量変化分布(および任意の関連付けられた制御制限値)は、SiNを堆積させる実際のプロセスが似ていても、互いに異なり得る。
【0057】
図13は、上述したSiN堆積の実施例において、特有の質量変化分布がどのように用いられ得るかを示すグラフである。グラフは、測定された質量変化(y軸)を、ウエハ番号(x軸)に対して図4および5と同様の方法でプロットする。特有の質量変化分布は、制御上下限値60,62を与えるために用いられる。この方法は、SiN層の厚さにおける0.2nm(2Å)の変化、または、SiN層の密度における0.05gcm3の変化を決定するために用いられ得る。
【0058】
不良の可能性が評価される個々の測定値に加えて(すなわち、測定値が制御制限値外にあると何かがおそらくおかしい)、測定された質量変化分布自体が、(上記で与えられたポリマー生成の例と同様に)プロセスにおける長期間にわたる変化を検出するという観点で監視され得る。たとえば、堆積条件を変更したり、または装置のリセットを要求することによって、検出された変化に対して適応または反応するようにシステムが自動的に適合される。
【0059】
図14〜17は、配線工程(BEoL)処理において作られる酸化金属間誘電体(IMD)層の厚さを監視するための本発明の使用を描く。
【0060】
図14は、互いの上部に配置された複数の機能レベルを有する半導体デバイスの概略断面図である。各機能レベルは、金属の層、たとえばパターン化アルミニウム線を含む。IMD層は、金属層を互いに絶縁するために、隣接するレベルの間の接触面に設けられる。スペールを節約するためにIMD層を薄くすることが望ましいが、伝導を防ぐのに十分に厚い。実際には、厚さを低減し、次の金属層の土台として用いるために表面を平坦にするために、堆積されたオキサイド層には化学機械研磨(CMP)が施される。
【0061】
図15は、IMD層の生成に含まれるプロセス工程を示す。
図15(a)は、FEoL処理の生産物である半導体構造100を示す。ドレインおよびソース電極に接続された金属(たとえばアルミニウム)線102と、ゲート電極に接続された金属(たとえばアルミニウム)線104とが、第1金属層として生成される。質量測定値M0は、たとえば、上述した大気浮力補償技術を用いて、または別の周知の方法によって、この中間構造に対して取得される。
【0062】
図15(b)は、オキサイド層106の高密度プラズマ(HDP)堆積が実施された後の半導体構造100を示す。堆積されたオキサイド層106は、約1600nmの厚さTHDPを有し、金属層線102,104を完全に覆う。質量測定値M1は、この中間構造に対して取得され、したがって、堆積されたオキサイド層106の質量は、MDEPOSIT=M1−M0として算出される。
【0063】
図15(c)は、CMPプロセスがオキサイド層106の上部108を取り除いた後の半導体構造100を示す。処理されたオキサイド層106は、約1300nmの厚さTOXIDEを有し、金属層線102,104を完全に覆う。処理されたオキサイド層の上面は平坦であり、それによって、次の層を支持するためのプレーナ領域を提供する。質量測定値M2は、この構造に対して取得され、したがって、研磨によって取り除かれた上部108の質量は、MCMP=M1−M2として算出でき、残りのオキサイド層は、MOXIDE=M2−M0として算出できる。
【0064】
したがって、オキサイド層の最終的な厚さは、2つの独立した(そして、技術的に類似していない)プロセス工程に依存する。エッチストップがない状態では、オキサイドの正しいレベルが取り除かれたか否かを判定することが困難である。IMD層の最終的な厚さの変動は、デバイスの集積化の問題を生じることがあり得、デバイスの性能に影響を与える場合もあり得る。
【0065】
このプロセスにおいて取得された質量値は、潜在的な問題を特定および/または修正することを助成するために、SPCおよびAPC(またはフィードフォワードプロセス制御)を実行するために用いられ得る。MDEPOSITは、堆積されたオキサイド層106の厚さに直接的に比例するため、この値の、堆積工程についての特有の質量変化分布に対する相対的な位置を、CMP工程の継続時間を調整するために用いることが可能である。たとえば、MDEPOSITが、特有の質量変化分布上の+1σ点を上回ると、CMPツールは、(所与の除去率に応じて)そのウエハに対する除去時間を増加するように指示され得る。同様に、MDEPOSITが、特有の質量変化分布上の−1σ点を下回ると、CMPツールは、除去時間を低減するように指示され得る。MOXIDEの値は、結果として得られるIMD層の厚さを監視するために、総IMD生成プロセス(すなわち、HDP堆積とCMPとの組み合わせ)についての特有の質量変化分布とも比較され得る。
【0066】
図16は、上記にて概要が述べられたものと同様のAPCプロセスのフローチャートを示す。工程S1は、FEoL処理後の半導体構造100に対する質量測定値M0の取得を表わす。工程S2は、オキサイド層106のHDP体積と、後に続くM1の測定と、MDEPOSITの算出である。工程S3は、CMP除去時間を修正するか否かを判断するためにMDEPOSITの値をMDEPOSITについての特有の質量変化分布と比較し得るCMP装置へのMDEPOSITの値の転送である。工程S4は、実際のCMPの実行が後に続く、CMP除去時間の修正である(必要であれば)。工程S5は、CMPの完了後のM2の測定と、MOXIDEの算出と、IMD層の厚さを監視するための、その値と、HDP堆積およびCMPプロセスとの組み合わせについての特有の質量変化分布との比較である。
【0067】
図17は、堆積された質量とIMD層の質量とが、覆われる金属層におけるどの程度の金属に基づいて、どのように変わる必要があり得るかを示すグラフである。金属が少ない(したがって、露出された領域が大きい)場合、容積の差を埋め合わせるためにより多くの質量が堆積されなければならない。したがって、各IMD層は、それを覆う金属層の構成に部分的に依存し得る、それ自身の特有の質量分布を有し得る。図17におけるグラフは、素材の一定量を除去することがCMP研磨にとって好適であり得ることを示す。MCMPの値、すなわちM1とM2との関係を監視することが、これを達成する方法の1つである。
【0068】
図18〜21は、デュアルダマシンプロセスにおけるトレンチエッチを監視するための本発明の使用を描く。従来、エッチプロセスは、エッチストップ層によって制御されたが、より速くデバイスを製造できるようにするためにはこの層を省略することが望ましい。
【0069】
図18は、互いの上部に配置された複数の機能レベルを有する半導体デバイスの概略断面図である。この事例において、構造の土台150は、FEoL処理の結果から生じたものであり、1つ以上のnMOSおよび/またはpMOSデバイスを含み得る。第1シングルダマシン層152は、土台150の上部に直接形成される。4つのデュアルダマシン層154,156,158,160は、第1シングルダマシン層の上に作られる。第2シングルダマシン層162は、デュアルダマシン層の上に設けられ、第2シングルダマシン層162の上のサブトラクティブアルミニウム層164によって構造が完成される。
【0070】
各デュアルダマシン層において、2つの異なる構造(たとえば、デュアルダマシン層154における一対のビアの間のトレンチ)が、絶縁層(たとえばシリコンダイオキサイド)内にエッチされる。エッチされた構造は金属(たとえば銅)で満たされ、その後、絶縁層を露出させるためにCMPが施され、そして、エッチされた構造によって形成された伝導パターニングが表れる。
【0071】
トレンチエッチが正しい深さ、すなわち、トレンチの深さとビアとの相対的な深さに実行されることを確実にするために従来用いられたエッチストップ層は、適切に作られた。
【0072】
図19は、デュアルダマシンプロセスの一部としての絶縁層内に2つの異なるエッチング構造を作るエッチングプロセスに、本発明の方法を適用することに含まれるプロセス工程を示す。この例において、作られる層は、図18に示される第1デュアルダマシン層154である。しかしながら、方法は、エッチデュアルダマシン層に適応可能である。各デュアルダマシン層は、異なる構成を有しうるので、夫々のエッチプロセスについての特有の質量変化分布は異なり得る。各工程についての測定された質量変化と特有の質量変化分布との比較は、エッチが適切に実行されたか否かを示し得る。さらに、絶縁層の堆積に対応する質量変化を計測し、これを、その堆積プロセスについての特有の質量変化分布と比較し、後に続くエッチプロセスを適宜調整することによって、APCが実行され得る。たとえば、より薄い絶縁層には少ないエッチングが施され得る一方、より厚い絶縁層には多くのエッチングが施され得る。
【0073】
図19(a)は、FEoL処理によって作られる半導体土台150を示す。第1シングルダマシン層は、土台150の上面に作られる。ドレインおよびソース電極に接続された金属(たとえば銅)線151と、ゲート電極に接続された金属(例えば銅)線153とは、第1金属層として作られる。質量測定値M0は、あとえば、上述された大気浮力補償技術または別の周知の方法を用いて、この中間構造に対して取得される。
【0074】
図19(b)は、低k誘電(絶縁)オキサイド層166の堆積後の構造を示す。質量測定値M1は、この中間構造に対して取得され、したがって、載積されたオキサイド層166の質量は、MLOWK=M1−M0として算出可能である。MLOWKの値は、後の図20を参照して述べられるように、後のエッチング工程を制御するために特有の質量変化分布と比較される。
【0075】
図19(c)は、シングルダマシン層152内の金属線151,152のうちのいくつかまで、3のビア168がエッチされた後の構造を示す。質量測定値M2は、この構造に対して取得され、したがって、エッチによって除去された質量は、MVIA=MLOWK−M2として算出可能である。MVIAの値は、エッチプロセスが正しく実行されたことを確実にするために、特有の質量変化分布と比較される。
【0076】
図19(d)は、2つのビア168の間でトレンチ170がエッチされた後の構造を示す。質量測定値M3は、この構造に対して取得され、したがって、エッチによって除去された質量は、MTRENCH=MVIA−M3として算出可能である。MTRENCHの値は、エッチプロセスが正しく実行されたことを確認にするために、特有の質量変化分布と比較される。
【0077】
図20は、図19を参照して説明されたプロセス工程に適用された発明の実施例を示すフローチャートである。工程S10は、半導体土台150および第1シングルダマシン層154を含む中間構造に対するM0の値の測定である。工程S11は、低k誘電層166の堆積、M1の測定およびMLOWKの取得(たとえば算出)である。工程S12は、たとえばMLOWKをチャートにプロットすることによってMLOWKを用いたSPCの実行と、たとえば、その特有の分布に応じて設定された制御制限値と比較することによる、特有の質量変化分布との比較である。特有の質量変化分布におけるMLOWKの相対的な位置は、プロセスの性能を示し得、たとえば、層の厚さ、k値、均一性などの指標として用いることができる。工程S13は、たとえばエッチタイミング、温度などのエッチプロセスパラメータを、ビアエッチプロセスに対して調整するためにMLOWKの値をフィードフォワードすることによる第1APCの実行である。言い換えると、対応する特有の質量変化分布におけるMLOWKの相対的な位置は、所望のエッチ深さに直接的に関与する絶縁層の厚さを示し得る。この方法で情報をフィードフォワードすることにより、エッチングプロセスをより効率的にすることができる、すなわち、製造における変化によって生じる不良のために損失し得るウエハを少なくすることができる。工程S14は、ビアのエッチング、M2の測定、MVIAの取得(たとえば算出)、および、たとえばMVIAをチャートにプロットすることによるMVIAの値を用いたSPCの実行、および、たとえばその特有の分布に応じて設定された制御制限値との比較による、特有の質量変化分布との比較である。工程S15は、トレンチエッチプロセスに対して、たとえばエッチタイミング、温度などのエッチプロセスパラメータを調整するために、MLOWKの値(あるいはMVIAの値とともに)フィードフォワードすることによる第2APCの実行である。ビアエッチを制御するために厚さの指標としてMLOWKが用いられるのと同じ方法で、ビアに対するトレンチの深さを制御するために、MLOWKがこの工程において用いされ得る。工程S16は、トレンチのエッチング、M3の測定、MTRENCHの取得(たとえば算出)、そして、たとえばMTRENCHをチャートにプロットすることにるMTRENCHの値を用いたSPCの実行、および、たとえばその特有の分布に応じて設定された制御制限値との比較による、特有の質量変化分布との比較である。
【0078】
図21は、上述した様々なプロセスに対する質量変化が、エッチされた構造の寸法に依存してどのように変化する必要があり得るかを示すグラフである。ビアおよびトレンチが小さい場合、より小さい質量が、容積の差を埋め合わせるための所与の絶縁層から取り除かれる必要がある。したがって、各デュアルダマシン層は、そのエッチされた構造の構成に依存し得るそれに特有の質量分布を有しうる。

【特許請求の範囲】
【請求項1】
半導体ウエハ製造プロセスに対する特有の質量変化分布を取得するステップと、
前記プロセスが施される複数の半導体ウエハの質量の変化を測定するステップと、
前記プロセスを監視するために、前記複数の半導体ウエハの前記測定された質量変化から構成される測定された質量変化分布の形状と、前記特有の質量変化分布の形状とを比較するステップとを含む、統計的プロセス制御(SPC)の方法。
【請求項2】
前記測定された質量変化分布の形状と前記特有の質量変化分布の形状とを比較するステップは、前記測定された質量変化分布と前記特有の質量変化分布との間の相対的なブロードニング、相対的なナローイングまたはスキューのうちのいずれか1つ以上を検出するステップを含む、請求項1に記載の方法。
【請求項3】
制御変数と前記プロセスの前記特有の質量変化との間の実験に基づく関係を判定するステップと、
前記実験に基づく関係、および、前記測定された質量変化分布の形状と前記特有の質量変化分布の形状との比較に基づいて、前記制御変数の挙動の指標を取得するステップとを含む、請求項1または2に記載の方法。
【請求項4】
前記測定された質量変化分布に対する平均を取得するステップと、前記取得された平均と前記特有の分布の平均とを比較するステップとを含む、請求項1〜3のいずれかに記載の方法。
【請求項5】
ある時点での測定された質量変化分布は、その時点の直前の期間に測定された複数の質量変化から構成される、請求項1〜4のいずれかに記載の方法。
【請求項6】
前記測定された質量変化分布を定期的に更新するステップを含む、請求項1〜5のいずれかに記載の方法。
【請求項7】
半導体ウエハ製造プロセスが施される複数の半導体ウエハの各々について質量の変化を測定するステップと、
ある時点において、その時点の直前の期間において測定された複数の前記測定された質量変化から構成される現在の測定された質量変化分布を取得するステップと、
その時点の後で前記プロセスが施される1つ以上の半導体ウエハの質量の変化を後に続いて測定するステップと、
前記プロセスを監視するために、前記後に続いて測定された質量の変化を、前記現在の測定された質量変化分布と比較するステップとを含む、統計的プロセス制御(SPC)の方法。
【請求項8】
前記現在の測定された質量変化分布は、予め定められた数の質量変化から構成される、請求項7に記載の方法。
【請求項9】
前記現在の測定された質量変化分布は、その時点の直前の予め定められた期間において測定された全ての質量変化から構成される、請求項7に記載の方法。
【請求項10】
前記測定された質量変化分布を定期的に更新するステップを含む、請求項7〜9のいずれかに記載の方法。
【請求項11】
前記後に続いて測定された質量の変化と前記現在の測定された質量変化分布とを比較するステップは、前記後に続いて測定された質量の変化と前記現在の測定された質量変化分布の平均との差を判定するステップを含む、請求項7〜10のいずれかに記載の方法。
【請求項12】
前記後に続いて測定された質量の変化と前記現在の測定された質量変化分布との比較に基づいて、後に続くウエハに対して前記プロセスを調整するステップを含む、請求項7〜11のいずれかに記載の方法。
【請求項13】
前記後に続いて測定された質量の変化と前記現在の測定された質量変化分布との比較に基づいて、前記ウエハに対して将来のプロセス工程を調整するステップを含む、請求項7〜12のいずれかに記載の方法。
【請求項14】
半導体ウエハ製造プロセスに対する特有の質量変化分布を取得するステップと、
前記半導体ウエハ製造プロセスが施される複数の半導体ウエハの各々について質量の変化を測定するステップと、
ある時点において、その時点の直前の期間において測定された複数の前記測定された質量変化から構成される現在の測定された質量変化分布を取得するステップと、
その時点の後で前記プロセスが施される1つ以上の半導体ウエハの質量の変化を後に続いて測定するステップと、
前記後に続いて測定された質量の変化を、前記現在の測定された質量変化分布と比較し、
前記現在の測定された質量変化分布と、前記特有の質量変化分布と比較することによって、
前記プロセスを監視するステップとを含む、統計的プロセス制御(SPC)の方法。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate

【図11】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate

【図15A】
image rotate

【図15B】
image rotate

【図15C】
image rotate

【図16】
image rotate

【図17】
image rotate

【図18】
image rotate

【図19A】
image rotate

【図19B】
image rotate

【図19C】
image rotate

【図19D】
image rotate

【図20】
image rotate

【図21】
image rotate


【公表番号】特表2011−512022(P2011−512022A)
【公表日】平成23年4月14日(2011.4.14)
【国際特許分類】
【出願番号】特願2010−541102(P2010−541102)
【出願日】平成21年1月7日(2009.1.7)
【国際出願番号】PCT/GB2009/000043
【国際公開番号】WO2009/087383
【国際公開日】平成21年7月16日(2009.7.16)
【出願人】(509255602)メトリックス・リミテッド (4)
【氏名又は名称原語表記】METRYX LIMITED
【Fターム(参考)】