説明

回路シミュレーション方法および回路シミュレーション装置

【課題】精度の高い回路シミュレーションを実行する回路シミュレーション方法を提供する。
【解決手段】ゲートレベルでの電圧変動解析ステップを実行する(ステップS2)。ゲートレベルでの電圧変動解析ステップは、チップTP全体に対して実行する。そして、次に、電圧変動解析ステップに従う電源電圧および接地電圧(Vss)の電圧波形を取得するステップを実行する(ステップS4)。次に、トランジスタレベルでの信号解析ステップを実行する(ステップS6)。トランジスタレベルでの信号解析解析ステップは、チップTP全体よりも範囲の狭い例えば、すべてではない、1つ又はそれ以上の機能モジュールに対して実行する。そして、信号解析ステップに従う信号解析結果を取得するステップを実行する(ステップS8)。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、半導体集積回路のモデルに対する信頼性試験のための回路シミュレーションに関する。
【背景技術】
【0002】
従来、より信頼性の高い半導体集積回路装置を出荷するために、半導体集積回路装置に対し、製品出荷前に各種の信頼性試験が行なわれている。
【0003】
プロセスの微細化に伴い、クロストークや、エレクトロマイグレーション、ホットキャリア劣化、電源電圧降下(IRドロップ)などの物理現象の影響が顕著化し、半導体集積回路装置の動作に影響を及ぼす結果となっている。これは、回路中の電源を供給する電源配線の抵抗によって生じる現象であり、複数の回路の同時スイッチングによっても影響を受ける。電圧降下が大きいと回路の動作速度が低下し、回路が誤動作する原因になるため、半導体集積回路装置全体(チップ全体)での電圧降下解析が提案されている(特許文献1)。
【先行技術文献】
【特許文献】
【0004】
【特許文献1】特開2006−277557号公報
【発明の概要】
【発明が解決しようとする課題】
【0005】
トランジスタレベルでの回路シミュレーションとしては、SPICE(Simulation Program Integrated Circuit Emphasis)シミュレーションが知られている。
【0006】
しかしながら、一般的に近年の集積回路の素子数の増加に伴って、トランジスタレベルの回路シミュレーションにおいては、演算の処理量が膨大になり、半導体集積回路装置全体(チップ全体)でSPICEのシミュレーションを実行することは相当の時間を要し現実的ではない。よって、SPISEシミュレーションは、チップの一部分に限って実行されるシミュレーションとして利用される。
【0007】
このSPICEシミュレーションは、部分的な回路シミュレーションであるため、入力される電源電圧については理想電源モデルを用いたシミュレーションが実行されていた。
【0008】
しかしながら、実際の電源電圧で生じる電圧降下等は、他の周辺回路の動作との関係に基づいて生じるものであり、理想電源モデルでは、精度の高い回路シミュレーションを実行することができなかった。
【0009】
本発明は、上記のような問題を解決するためになされたものであって、精度の高い回路シミュレーションを実行する回路シミュレーション方法および回路シミュレーション装置を提供することを目的とする。
【課題を解決するための手段】
【0010】
本発明の一実施例に従う回路シミュレーション方法は、トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション方法であって、半導体集積回路に対してゲートレベルに対する電圧変動解析を実行するステップと、電圧変動解析の解析結果を用いて半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行するステップとを備える。
【発明の効果】
【0011】
本発明の一実施例によれば、ゲートレベルに対する電圧変動解析を実行するため、短時間での電圧変動解析シミュレーションが可能である。そして、この解析結果に基づきトランジスタレベルに対する信号解析を実行するので、精度の高い回路シミュレーションを実現できる。
【図面の簡単な説明】
【0012】
【図1】本発明の実施の形態に従う半導体集積回路装置全体を説明する概略図である。
【図2】本発明の実施の形態に従う回路シミュレーション方法について説明する図である。
【図3】ステップS2においてゲートレベルでの電圧変動解析ステップを実行するシミュレータ部SM1を説明する図である。
【図4】電圧変動解析を実行する回路の具体例の一部を説明する図である。
【図5】シミュレータ部SM1において、電圧変動解析シミュレーションを実行した場合の概念図である。
【図6】ステップS6においてトランジスタレベルでの信号解析ステップを実行するシミュレータ部SM2を説明する図である。
【図7】信号解析を実行する回路の具体例を説明する図である。
【図8】シミュレータ部SM2において、信号解析シミュレーションを実行した場合の測定結果を示す図である。
【図9】本発明の実施の形態の変形例に従う電圧変動情報を説明する図である。
【発明を実施するための形態】
【0013】
この発明の実施の形態を図面を、参照して詳しく説明する。なお、図中同一符号は同一または相当部分を示す。
【0014】
図1は、本発明の実施の形態に従う半導体集積回路装置全体を説明する概略図である。
図1を参照して、本発明の実施の形態に従う半導体集積回路装置全体いわゆるチップTPには、複数の機能モジュールが搭載されている。
【0015】
具体的には、チップTPには、CPU(Central Processing Unit)4と、A/D回路6と、D/A回路8と、SRAM(Static Random Access Memory)10,22と、DDR(Double Data Rate)I/F12と、PCIExpress14と、LOGIC回路16,18と、PLL(Phase Locked Loop)回路20と、IO(Input Output)領域2とが機能モジュールとして設けられている場合が示されている。
【0016】
CPU4は、チップTP全体を制御する演算処理回路である。A/D回路6は、アナログ信号をデジタル信号に変換する回路である。D/A回路8は、デジタル信号をアナログ信号に変換する回路である。SRAM10,22は、フリップフロップ等の順序回路を用いてデータを記憶するメモリである。DDRI/F12は、外部に設けられたSDRAM(Synchronous Dynamic Random Access Memory)に対するインターフェース回路である。また、PCIExpress14は、高速シリアル転送インターフェースである。LOGIC回路16,18は、各種の論理回路が設けられている。PLL回路20は、クロック等の周波数信号を生成する回路である。IO領域2は、各部との入出力の配線がレイアウトされた領域である。
【0017】
当該チップ構成は、一例であり、特に当該構成に限られるわけではなく、他の構成とすることも可能である。
【0018】
本発明の実施の形態に従う回路シミュレーション方法を実行する回路シミュレーション装置は、例えば、上述のCPU、メモリおよび入出力装置を備えたコンピュータにソフトウェアが組み込まれて構成されるが、機能的には、図2に示す各ステップが実行される機能を有する各部を備えて構成される。
【0019】
図2は、本発明の実施の形態に従う回路シミュレーション方法について説明する図である。
【0020】
図2を参照して、まず、ゲートレベルでの電圧変動解析ステップを実行する(ステップS2)。本例においては、一例として、ゲートレベルでの電圧変動解析ステップは、チップTP全体に対して実行する。この解析によりチップ内の電源配線及び接地配線のそれぞれ複数の箇所についてそれぞれ電源電圧及び接地電圧の電圧変動が解析される。各箇所とは例えばインスタンス毎の電源配線および接地配線の箇所である。
【0021】
そして、次に、電圧変動解析ステップに従う電源電圧(Vdd)および接地電圧(Vss)の電圧波形を取得するステップを実行する(ステップS4)。
【0022】
次に、トランジスタレベルでの信号解析ステップを実行する(ステップS6)。トランジスタレベルでの信号解析ステップは、チップTP全体よりも範囲の狭い例えば、すべてではない、1つ又はそれ以上の機能モジュールに対して実行する。
【0023】
そして、信号解析ステップに従う信号解析結果を取得するステップを実行する(ステップS8)。
【0024】
図3は、ステップS2においてゲートレベルでの電圧変動解析ステップを実行するシミュレータ部SM1を説明する図である。
【0025】
図3を参照して、シミュレータ部SM1に、インスタンス毎のネットリスト100と、トグル情報102と、消費電力及び遅延に関するライブラリ104と、インスタンスレイアウト情報106と、電源および接地電圧用の抵抗・容量のネットリスト108と、信号用の抵抗・容量のネットリスト110と、タイミング制約情報112と、DEFファイル114とが入力されて、電圧変動解析シミュレーションが実行される。
【0026】
インスタンス毎のネットリスト100とは、各インスタンスの接続関係を示す情報であり、当該情報によりインスタンスの回路構成が把握される。一般的に、半導体集積回路装置は、複数のトランジスタ等で各種機能が構成される。各機能の最小単位をゲートとする。例えば、ゲートとしては、NAND回路、NOR回路、XOR回路、インバータ回路、FF(Flip Fop)回路が挙げられ、SRAM、PLL、アナログデジタル変換器及びデジタルアナログ変換器といったハードマクロも含む。そして、各種のゲート毎に番号を割り当てて、他と識別可能とされたゲートをインスタンスと称している。
【0027】
トグル情報102とは、インスタンス等に入力する入力波形情報であり、信号電位がハイからロー、またはローからハイに遷移する信号のトグル率を示す情報も含む。
【0028】
消費電力及び遅延に関するライブラリ104は、各種のゲート単位毎での消費電力及び遅延に関する情報テーブルが格納されており、ゲートの種類に応じて、消費電力及び遅延を算出することができる。
【0029】
インスタンスレイアウト情報106は、各インスタンスのレイアウトに関する情報である。
【0030】
電源・接地電圧用の抵抗・容量のネットリスト108は、電源電圧あるいは接地電圧と接続される電圧配線に係る抵抗および/または容量に関する情報である。
【0031】
信号用の抵抗・容量のネットリスト110は、信号配線間の寄生容量および信号配線の抵抗等に関する情報である。
【0032】
タイミング制約情報112は、各クロックの周波数、各ゲートのスイッチングのタイミング、また、どのクロックに制御されているかの情報等を含む。
【0033】
DEFファイル114は、ゲートが作成される物理的な階層(レイヤー)情報等である。なお、上記情報に加えてさらに別の条件あるいは情報を付加してより詳細なシミュレーションを実行するようにしても良い。
【0034】
以上のようにゲートレベルでの解析は、トランジスタの情報を抽象化したゲートを用いて解析する手法であり、ゲート内のトランジスタレベルをモデル化して当該ゲートの特性を予め解析し、ライブラリ化したもの(例えば消費電力及び遅延に関するライブラリ)を用いた解析といえる。
【0035】
電圧変動情報116は、シミュレータ部SM1が行った電圧変動解析の結果である。
図4は、電圧変動解析を実行する回路の具体例の一部を説明する図である。
【0036】
図4を参照して、当該回路を用いて上記情報について具体的に説明する。
ここでは、インバータ回路IVと、NAND回路NDと、フリップフロップ回路FFとが設けられている場合が示されている。
【0037】
また、インバータ回路IVに入力信号Aが入力され、NAND回路NDに入力信号Bが入力され、フリップフロップ回路FFに入力信号Cが入力される。
【0038】
インスタンス毎のネットリスト100は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFの各インスタンスに関する接続関係の情報を含む。
【0039】
トグル情報102は、一例として、入力信号A,B,Cのタイミング等の入力波形情報を含む。
【0040】
消費電力及び遅延に関するライブラリ104は、インバータ回路、NAND回路、フリップフロップ回路の各々の消費電力及び遅延に関する情報を含む。
【0041】
インスタンスレイアウト情報106は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFのレイアウトに関する情報を含む。
【0042】
電源・接地電圧用の抵抗・容量のネットリスト108は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFが接続される電源電圧Vddおよび接地電圧VSSと接続される電圧配線に係る抵抗および/または容量に関する情報を含む。
【0043】
信号用の抵抗・容量のネットリスト110は、インバータ回路IVとNAND回路NDとの間の信号配線の抵抗・容量等に関する情報、およびNAND回路NDとフリップフロップ回路FFとの間の信号配線の抵抗・容量等に関する情報を含む。
【0044】
タイミング制約情報112は、例えば、入力信号Cがクロックである場合には、クロックの周波数等の情報を含む。
【0045】
DEFファイル114は、インバータ回路、NAND回路、フリップフロップ回路が作成される物理的な階層情報を含む。
【0046】
当該情報がシミュレータ部SM1に入力されることにより、図4に示される回路に対する電圧変動解析シミュレーションを実行することが可能となる。
【0047】
図5は、シミュレータ部SM1において、電圧変動解析シミュレーションを実行した場合の概念図である。
【0048】
図5(A)を参照して、ここでは、シミュレータ部SM1において、チップTP全体における電圧変動解析シミュレーションを実行した場合が示されている。
【0049】
図5(B)は、チップTP全体の一部領域を抽出した場合が示されている。本例においては、チップTP全体における図4におけるインバータ回路を抽出した場合が示されている。
【0050】
当該抽出は一例であり、別のインスタンスでも良いし、さらに複数のインスタンスの組み合わせであっても良い。
【0051】
図5(C)は、当該インスタンスと接続された電源電圧Vddの電圧変動を説明する図である。
【0052】
図5(C)を参照して、ここでは、電源電圧Vddの変動が示されている。
具体的には、1.1V付近にある電圧が電圧変動により1.0V付近に降下する場合等が示されている。
【0053】
なお、ここでは、インバータ回路と接続された地点P(ポイント)における電源電圧Vddの変動波形についてのみ説明しているが、電源電圧Vddに限られず、インバータと接続された地点P#における接地電圧Vssの変動波形(例えば電源電圧とは逆に、0Vから上昇してバウンドする変動波形)についても同様に取得することが可能である。なお、ここでは、地点P,P#に限られず、図4に示される他の地点Q,Q#,R,R#についても同様に取得することが可能である。
【0054】
図4において、チップTPの一部である3つのインスタンスを例示したが、シミュレータ部SM1により、チップTPの電圧すべてのインスタンスに対し、インスタンス毎の電源電圧及び接地電圧の電圧変動波形が取得される。
【0055】
このように、インスタンス毎の電源電圧及び接地電圧の波形を得るために、トランジスタレベルではなくゲートレベルの回路シミュレーションを利用するため、電圧変動解析を短時間で行える。
【0056】
また、電源電圧が予め定められた許容レベルよりもさらに降下したインスタンスの有無若しくは接地電圧が予め定めされた許可レベルよりもさらに上昇したインスタンスの有無をチェックすることができる。許容レベルを超えた電圧変動があった場合には、チップの回路及びそのレイアウトの修正を行う。
【0057】
なお、ステップS4において電源電圧及び接地電圧の変動波形を取得するとは、例えば当該電源波形を利用可能な状態にコンピュータ上の記憶装置に保持する態様を含む。
【0058】
図6は、ステップS6においてトランジスタレベルでの信号解析ステップを実行するシミュレータ部SM2を説明する図である。
【0059】
図6を参照して、シミュレータ部SM2に、インスタンス毎のネットリスト202と、トグル情報204と、電圧変動情報206と、インスタンスレイアウト情報208と、電源および接地電圧用の抵抗・容量のネットリスト216と、信号用の抵抗・容量のネットリスト218と、DEFファイル220と、ゲート内のトランジスタのネットリスト210と、トランジスタのモデル情報212と、ゲート内部のレイアウト情報214とが入力されて、信号解析シミュレーションが実行される。信号解析シミュレーションは、ある特定の地点(ポイント)での信号の遅延量、ジッタ、ノイズ量を解析することが可能である。トランジスタレベルの解析とは、トランジスタを含む回路で構成されるネットとトランジスタモデルを用いて解析する手法といえる。
【0060】
信号解析シミュレーションとして、本例においては、SPICE(Simulation Program Integrated Circuit Emphasis)シミュレーションを実行する場合について説明する。
【0061】
インスタンス毎のネットリスト202は、上述したように、各インスタンスの接続関係を示す情報であり、インスタンスの回路構成が把握される。
【0062】
トグル情報204とは、インスタンス等に入力する入力波形情報であり、信号電位がハイからロー、またはローからハイに遷移する信号のトグル率を示す情報も含む。
【0063】
電圧変動情報206は、上記の図4でシミュレータ部SM1で取得した電圧変動解析シミュレーションに基づく、インスタンス毎の電源電圧及び接地電圧の電圧変動の波形情報である。なお、電圧変動情報206は、当該電圧変動情報であるシミュレータ部SM1での電圧変動解析シミュレーションの解析結果(図4の電圧変動情報116)のフォーマットを、SPICEであるシミュレータ部SM2で用いる際に、SPICE用のPWL(Piece Wise Linear)フォーマットに変換されたものとする。
【0064】
インスタンスレイアウト情報208は、各インスタンスのレイアウトに関する情報である。
【0065】
電源・接地電圧用の抵抗・容量のネットリスト216は、電源電圧あるいは接地電圧と接続される電圧配線に係る抵抗および/または容量に関する情報である。
【0066】
信号用の抵抗・容量のネットリスト218は、信号配線間の寄生容量および信号配線の抵抗等に関する情報である。
【0067】
ゲート内のトランジスタのネットリスト210は、例えば、インバータ回路を構成するトランジスタ等の接続関係を示す情報である。
【0068】
トランジスタのモデル情報212は、トランジスタの型番、容量等、各トランジスタの特性パラメータに関する情報である。
【0069】
ゲート内部のレイアウト情報214は、例えば、インバータ回路を構成するトランジスタ等のレイアウトに関する情報である。なお、上記情報に加えてさらに別の条件あるいは情報を付加してより詳細なシミュレーションを実行するようにしても良い。
【0070】
図7は、信号解析を実行する回路の具体例を説明する図である。
図7を参照して、当該回路は、図4で説明した回路をトランジスタレベルで標記した場合が示されている。
【0071】
ここでは、図4で説明したインバータ回路IVと、NAND回路NDと、フリップフロップ回路FFとをトランジスタで構成した場合が示されている。
【0072】
また、インバータ回路IVに入力信号Aが入力され、NAND回路NDに入力信号Bが入力され、フリップフロップ回路FFに入力信号Cが入力される。なお、φ,/φは、フリップフロップ回路FFの内部信号である。
【0073】
上記で説明したように、インスタンス毎のネットリスト202は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFの各インスタンスに関する接続関係の情報を含む。
【0074】
また、トグル情報204は、一例として、入力信号A,B,Cのタイミング等の入力波形情報を含む。
【0075】
また、インスタンスレイアウト情報208は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFのレイアウトに関する情報を含む。
【0076】
また、電源・接地電圧用の抵抗・容量のネットリスト216は、インバータ回路IV、NAND回路ND、フリップフロップ回路FFが接続される電源電圧Vddおよび接地電圧VSSと接続される電圧配線に係る抵抗および/または容量に関する情報を含む。
【0077】
また、信号用の抵抗・容量のネットリスト218は、インバータ回路IVとNAND回路NDとの間の信号配線の抵抗・容量等に関する情報、およびNAND回路NDとフリップフロップ回路FFとの間の信号配線の抵抗・容量等に関する情報を含む。
【0078】
また、ゲート内のトランジスタのネットリスト210は、インバータ回路を構成するトランジスタの接続関係を示す情報、NAND回路を構成するトランジスタの接続関係を示す情報、フリップフロップ回路を構成するトランジスタの接続関係を示す情報を含む。
【0079】
トランジスタのモデル情報212は、例えば、NチャネルMOSトランジスタおよびPチャネルMOSトランジスタ等の特性パラメータ等に関する情報である。
【0080】
ゲート内部のレイアウト情報214は、例えば、インバータ回路を構成するトランジスタ等のレイアウトに関する情報を含む。
【0081】
電圧変動情報206は、上記の図4の回路でシミュレータ部SM1で取得した電圧変動解析シミュレーションに基づく電源電圧または接地電圧の波形情報であり、例えば、地点P,P#,Q,Q#,R,R#における電圧変動の波形情報を含む。
【0082】
当該情報がシミュレータ部SM2に入力されることにより信号解析シミュレーションを実行することが可能となる。図7では、チップTPの一部である3つのインスタンスを例示したが、シミュレータ部SM2は、ステップS6の信号解析の対象となるチップの一部の領域(すべてではない一つ又はそれ以上の機能モジュール)に含まれるインスタンスに関する電圧変動の波形情報を電圧変動情報206から抽出し、この抽出した波形情報に基づき信号解析を行う。
【0083】
なお、シミュレータ部SM2に、消費電力及び遅延に関するライブラリに関する情報が入力されないのは、ゲート内のトランジスタのネットリスト210、トランジスタのモデル情報212、ゲート内部のレイアウト情報214に基づいて、各ゲートに対する消費電力及び遅延に関する値を算出することが可能だからである。
【0084】
また、タイミング制約情報が入力されないのも、上記の情報に基づいて、素子間の全ての接続情報、配線情報を把握することができるため、当該情報に基づいて、各ゲートのスイッチングのタイミング、また、どのクロックに制御されているか等についても算出可能だからである。
【0085】
当該情報がシミュレータ部SM2に入力されることにより、図7に示される回路に対するトランジスタレベルでの信号解析シミュレーションを実行することが可能となる。
【0086】
図8は、シミュレータ部SM2において、信号解析シミュレーションを実行した場合の測定結果を示す図である。
【0087】
図8(A)を参照して、ここでは、シミュレータ部SM2において、理想電源モデルを用いた場合のある回路の出力信号の周波数スペクトルが示されている。
【0088】
図8(B)を参照して、ここでは、シミュレータ部SM2において、本発明の実施の形態に従う電圧変動情報206を用いた場合のある回路の出力信号の周波数スペクトルが示されている。
【0089】
図8(A)では、理想電源モデルを用いているためピーク部分以外の信号は減衰している波形が出力されているが、図8(B)に示されるように、理想電源モデルでは図8(A)ではあまり顕在化していない領域X,Yでのノイズを認識することが可能である。すなわち、本実施の形態に従う方式により、理想電源モデルを用いたシミュレーションでは検出されなかったノイズを検出することが可能となり、実際の電源モデルを用いたシミュレーションを実行して、精度の高いシミュレーション結果を取得することが可能となる。
【0090】
図9は、本発明の実施の形態の変形例に従う電圧変動情報を説明する図である。
図9を参照して、ここでは、各インスタンスが電源電圧Vddと接続されている地点として、地点Pと、地点Sとが示されている。上記においては、電圧変動情報206として、インスタンスと接続されている地点Pと、地点Sとに対する電源電圧等の波形情報を与える場合について一例として説明したが、特にそれに限られず、他の地点、例えば、インスタンスと接続されている地点Pと地点Sとの間のノードN0〜N4等の地点における電圧変動の波形情報も与えるようにしても良い。当該情報を含めることにより係る地点に対する演算処理を省略することができるためシミュレータ部SM2における演算処理をより高速にすることが可能である。
【0091】
なお、本例においては、ゲートレベルでの電圧変動解析ステップとして、チップTP全体で実行する場合について説明したが、チップTP全体に特に限られず、トランジスタレベルでの信号解析ステップを実行する領域を含むとともに、当該領域よりも広い領域で実行するようにしても良い。
【0092】
また、ステップS2では電源電圧及び接地電圧の双方の電圧変動を解析し、ステップS6では電源電圧及び接地電圧の双方の電圧変動に基づき信号解析を行ったが、電源電圧及び接地電圧の一方に関して電圧変動解析を行い、その結果得られた電圧変動情報に基づき信号解析を行ってもよい。
【0093】
またステップS4では、チップ内のすべてのインスタンスについての電圧変動波形をコンピュータ内の記憶装置に利用可能に保持したが、例えば、ステップS6の信号解析が行われる領域(機能モジュール)のインスタンスのみの電圧変動波形を利用可能に保持することもできる。
【0094】
図3及び図6に示すそれぞれシミュレータ部SM1,SM2は別々のコンピュータシステムで実現されてもよいし、一つの統合されたコンピュータシステムで実現されてもよい。
【0095】
また、コンピュータを機能させて、上述のフローで説明したような制御を実行さゲート方法あるいは当該方法を実現するプログラムを提供することもできる。このようなプログラムは、コンピュータに付属するフレキシブルディスク、CD−ROM(Compact Disk-Read Only Memory)、ROM(Read Only Memory)、RAM(Random Access Memory)およびメモリカードなどの一時的でないコンピュータ読取り可能な記録媒体にて記録させて、プログラム製品として提供することもできる。あるいは、コンピュータに内蔵するハードディスクなどの記録媒体にて記録させて、プログラムを提供することもできる。また、ネットワークを介したダウンロードによって、プログラムを提供することもできる。
【0096】
なお、プログラムは、コンピュータのオペレーションシステム(OS)の一部として提供されるプログラムモジュールのうち、必要なモジュールを所定の配列で所定のタイミングで呼出して処理を実行さゲートものであってもよい。その場合、プログラム自体には上記モジュールが含まれずOSと協働して処理が実行される。このようなモジュールを含まないプログラムも、本発明にかかるプログラムに含まれ得る。
【0097】
また、本発明にかかるプログラムは他のプログラムの一部に組込まれて提供されるものであってもよい。その場合にも、プログラム自体には上記他のプログラムに含まれるモジュールが含まれず、他のプログラムと協働して処理が実行される。このような他のプログラムに組込まれたプログラムも、本発明にかかるプログラムに含まれ得る。
【0098】
提供されるプログラム製品は、ハードディスクなどのプログラム格納部にインストールされて実行される。なお、プログラム製品は、プログラム自体と、プログラムが記録された記録媒体とを含む。
【0099】
今回開示された実施の形態はすべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
【符号の説明】
【0100】
2 IO領域、4 CPU、6 A/D回路、8 D/A回路、10,22 SRAM、12 DDRI/F、14 PCIExpress、16,18 LOGIC回路、20 PLL回路、SM1,SM2 シミュレータ部、TP チップ。

【特許請求の範囲】
【請求項1】
トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション方法であって、
前記半導体集積回路に対してゲートレベルに対する電圧変動解析を実行するステップと、
前記電圧変動解析の解析結果を用いて前記半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行するステップとを備える、回路シミュレーション方法。
【請求項2】
前記ゲートレベルに対する電圧変動解析は、電源電圧の電圧降下および接地電圧の電圧上昇を解析するステップを含む、請求項1記載の回路シミュレーション方法。
【請求項3】
前記トランジスタレベルに対する信号解析を実行するステップは、前記電源電圧および接地電圧の解析結果を電源入力情報として用いる、請求項2記載の回路シミュレーション方法。
【請求項4】
前記ゲートレベルに対する電圧変動解析は、前記半導体集積回路のチップ全体に対して実行し、
前記トランジスタレベルに対する信号解析は、前記半導体集積回路のチップを構成する複数のモジュールのうちの少なくとも1つのモジュールに対して実行する、請求項1〜3のいずれか1項に記載の回路シミュレーション方法。
【請求項5】
トランジスタを用いて特定の機能を実現するゲートの複数からなる半導体集積回路を検証するための回路シミュレーション装置であって、
前記半導体集積回路に対してゲートレベルに対する電圧変動解析を実行する電圧変動解析部と、
前記電圧変動解析の解析結果を用いて前記半導体集積回路の一部領域に対してトランジスタレベルに対する信号解析を実行する信号解析部とを備える、回路シミュレーション装置。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図5】
image rotate


【公開番号】特開2011−257998(P2011−257998A)
【公開日】平成23年12月22日(2011.12.22)
【国際特許分類】
【出願番号】特願2010−132105(P2010−132105)
【出願日】平成22年6月9日(2010.6.9)
【出願人】(302062931)ルネサスエレクトロニクス株式会社 (8,021)
【Fターム(参考)】