説明

導線近傍にスキャッタリング・バーを配置させてなる半導体デバイス

【課題】
疎密のパターンを備える半導体デバイス100のリソグラフィー技術を改善する方法を提供する。
【解決手段】
スキャッタリング・バー108aおよび108bを孤立した導線106bの両側に配置することによりリソグラフィーの結果を改善する半導体デバイス100の製造方法である。このスキャッタリング・バー108aおよび108bは、半導体デバイスパターニング時における孤立した導線106bの焦点深度を向上させるに十分な幅を有し、かつ、該導線106bの焦点深度を向上させるに十分な距離だけ該孤立した導線106bから離間させて配置される。半導体デバイス100の製造が終了した後にも、このスキャッタリング・バー108aおよび108bは残したままとする。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、広く半導体デバイスの製造に関し、より詳細には、半導体デバイスに導電構造を形成するためのダマシン法に関するものである。
【背景技術】
【0002】
半導体デバイスは、半導体ウェハまたはワークピース中に活性領域を形成してから、このワークピース上に各種絶縁層、導電層および半導体層を堆積し、次いで、これらを一連の工程で順次パターニングすることによって作製される。半導体デバイスにおける上方の、乃至最後に形成される層には、通常、下方の活性領域同士を接続させ、かつワークピース内および全体の接続を実現させるためのメタライゼーション層が含まれる。一般的にこのメタライゼーション層は、絶縁材料中に導線が設けられてなる1または複数の金属配線層である。
【0003】
メタライゼーション層を形成する方法の1つに、当該分野でダマシンプロセスと呼ばれているものがある。例えば、銅のエッチング除去は困難であることから、銅導線を形成するものとしてダマシンプロセスがよく用いられている。導線を形成するためのダマシンプロセスでは、先ず、半導体ワークピースに絶縁材料または誘電材料を堆積してから、フォトリソグラフィーによりこの絶縁材料にトレンチ、ホールおよび/またはチャネルのパターンを形成する。そして、トレンチ、ホールおよび/またはチャネルを導電材料で充填して、絶縁材料内にビア、コンタクト、プラグおよび導線を形成する。この後は、化学機械研磨(CMP)プロセスまたはエッチングプロセスによって絶縁材料上面から余分な導電材料を除去するのが通常である。
【0004】
ダマシンプロセスは、シングルダマシンおよびデュアルダマシンに分けることができる。シングルダマシンプロセスでは、絶縁材料からなる単一の層に単一のパターンが形成される。この単一の層には、例えば、導線またはビア/コンタクトが含まれ得る。そして、例えば、別々の絶縁材料層中に導線およびビア/コンタクトを個々に形成していくことによって、多層配線層が形成される。
【0005】
一方、デュアルダマシンプロセスでは、絶縁材料からなる単一の層に2つのパターンを形成することができる。例えば、このうちの1つのパターンは導線、もう1つのパターンはビア/コンタクトのパターンであり得る。デュアルダマシンプロセスには、ビアファーストとビアラストプロセスがある。ビアラストプロセスは、導線用の溝を先に形成させるものであることから、当該分野においては“トレンチファースト”とも呼ばれている。ビアファーストデュアルダマシン法では、絶縁材料の全厚みにわたってビアパターンを形成してから、絶縁材料の上部、つまりビアパターンの上方に導線用のトレンチを形成する。これに対し、トレンチファーストデュアルダマシン法では、先ず、絶縁材料の上部に導線用のトレンチを形成してから、この導線のパターン下方の絶縁材料全厚みにわたってビアパターンを形成する。
【0006】
さて、半導体産業において、半導体デバイスのゲート長はより小型化ないし縮小化される趨勢にある。デバイス速度向上のため、そして半導体デバイスのサイズ縮小という要求に応えるために、メタライゼーション層における導線は、可能な限り微細化されている。
【0007】
半導体デバイスのサイズ縮小化において問題となるのは、各種材料層をパターン化するためのフォトリソグラフィー技術の難度が、デバイス形状のシュリンクが進むにつれて増すというところにある。光学的なフォトリソグラフィーでは、光学的に不透明な領域と光学的に透明な領域とからなるパターンが形成されたマスクまたはレチクルを介して光を投射、または透過させるが、パターニング時にウェハへ光線を投射する際、光の干渉が生じて、所望のパターンの形状が歪み、半導体デバイスのクリティカルディメンション(CD)に悪影響を与える恐れがある。
【0008】
半導体デバイスのフォトリソグラフィープロセスを改善させるためには、通常、光近接効果補正技術(OPC)が用いられている。OPCの一方式として、フォトリソグラフィーマスクのセリフを使用して回折効果を低減するものがある。また、他の方式のOPCとしては、当該分野でスキャッタリング・バーと呼ばれるものを用い、フォトリソグラフィーにおける近接効果を補正および低減する方式がある。このスキャッタリング・バーとは、棒状パターンからなり、フォトリソグラフィーマスク上に形成されるものである。半導体ウェハ上に堆積されたフォトレジストを露光するときに、マスク上のパターン周囲に形成されたスキャッタリング・バーは、光を通し、その光を散乱させることにより、所望のパターンデザインに与える近接効果を低減させることができる。
【0009】
半導体デバイスのデザインの中には、同一半導体ダイにおける一部のパターンは密集して配置され、他の部分のパターンは間隔を広くとって配置されているものがある。例えば、チップのメモリアレイ領域における配線は規則的かつ密集して配置されるのが普通であるが、周辺のセンスアンプおよび論理回路における配線はより離れ孤立した状態で配置されるのが普通である。このような半導体デバイスにおいて、近接効果は、孤立した領域で特に問題となる。半導体デバイスにおける密集度が高めの領域では、フォトリソグラフィーの露光条件は通常問題とはならない。
【0010】
近接効果に起因して、密集して配置されたパターンにおける導線の寸法が、間隔を広くとって配置されたパターンにおける導線と異なるものとなると、導線の抵抗率Rsが、密集して配置されたパターンと間隔を広くとって配置されたパターンとの間で異なることとなり、このような現象は、集積回路の電気的パフォーマンスに悪影響を与えてしまうため、望ましくない。
【0011】
よって、間隔を広くとって配置されたパターンと密集して配置されたパターンとを備える集積回路のリソグラフィー技術を改善する方法が、本分野において求められている。
【特許文献1】特開2002−329658号公報
【発明の開示】
【発明が解決しようとする課題】
【0012】
本発明の目的は、上述したようなリソグラフィー技術を改善する方法および構造を提供することにある。
【課題を解決するための手段】
【0013】
すなわち、本発明は、ワークピースと、前記ワークピース上に配置される絶縁材料と、前記絶縁材料内に配置され、第1の側辺およびこの第1の側辺に対向する第2の側辺を有すると共に、第1の長さを持っている第1の導線と、前記絶縁材料内であって前記第1の導線の第1の側辺側近傍に前記第1の導線の第1の側辺から離間させて配置され、前記第1の長さに略等しい第2の長さを持っているN本の第1のスキャッタリング・バーとを含み、前記第1の導線は電気的に活性であり、前記N本の第1のスキャッタリング・バーは電気的に不活性である半導体デバイスに関する。
【0014】
前記絶縁材料内であって前記第1の導線の第2の側辺側近傍に前記第1の導線の第2の側辺から離間させて配置され、前記第1の長さと略等しい第3の長さを持っていると共に、電気的に不活性であるN本の第2のスキャッタリング・バーをさらに含むことが好ましい。
【0015】
前記Nが1、2、3または4であることが好ましい。
【0016】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが第1の幅を有し、前記第1の導線が第2の幅を有し、前記第1の幅が前記第2の幅の約1/2〜前記第2の幅と等しい大きさであることが好ましい。
【0017】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが前記第1の導線と約0.5μm以下の間隔だけ離間していることが好ましい。
【0018】
前記絶縁材料内であって前記第1の導線の端部近傍に前記第1の導線の端部と間隔をあけて形成された少なくとも1本の第3のスキャッタリング・バーをさらに含むことが好ましい。
【0019】
前記N本の第1のスキャッタリング・バーまたは前記N本の第2のスキャッタリング・バーが、不連続なスキャッタリング・バーを少なくとも1本含み、該少なくとも1本の不連続なスキャッタリング・バーが、複数の前記絶縁材料からなる領域によって分離された複数の導電材料からなる領域を含むことが好ましい。
【0020】
前記少なくとも1本の不連続なスキャッタリング・バーのパターンが、幅約0.1μm以下である複数の切れ目領域を含むことが好ましい。
【0021】
前記第1の導線の幅が約130nm以下であることが好ましい。
【0022】
前記絶縁材料が感光性誘電材料からなることが好ましい。
【0023】
前記感光性誘電材料がシリコンまたは有機材料からなることが好ましい。
【0024】
前記第1導線が、直線、曲線、L字形またはこれらの組み合わせからなることが好ましい。
【0025】
また、本発明は、ワークピースと、前記ワークピース上に配置される絶縁材料と、
前記絶縁材料内に配置され、第1の側辺および第2の側辺を有すると共に、第1の長さを持っている第1の導線と、前記絶縁材料内であって前記第1の導線の第1の側辺側近傍に前記第1の導線の第1の側辺から離間させて配置され、前記第1の長さに略等しい第2の長さを持っている少なくとも1本の第1のスキャッタリング・バーとを含み、前記第1の導線は電気的に活性であり、前記少なくとも1本の第1のスキャッタリング・バーは電気的に不活性である半導体デバイスに関する。
【0026】
前記絶縁材料内であって前記第1の導線の第2の側辺側近傍に前記第1の導線の第2の側辺から離間させて配置され、前記第1の長さと略等しい第3の長さを持っていると共に、電気的に不活性である少なくとも1本の第2のスキャッタリング・バーをさらに含むことが好ましい。
【0027】
前記第1のスキャッタリング・バーの数と前記第2のスキャッタリング・バーの数とが等しいことが好ましい。
【0028】
前記第1のスキャッタリング・バーの数と前記第2のスキャッタリング・バーの数とが等しくないことが好ましい。
【0029】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが第1の幅を有し、前記第1の導線が第2の幅を有し、前記第1の幅が前記第2の幅の約1/2〜前記第2の幅と等しい大きさであることが好ましい。
【0030】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが前記第1の導線と約0.5μm以下の間隔だけ離間していることが好ましい。
【0031】
前記絶縁材料内であって前記第1の導線の端部近傍に前記第1の導線の端部と間隔をあけて形成された少なくとも1本の第3のスキャッタリング・バーをさらに含むことが好ましい。
【0032】
前記少なくとも1本の第1のスキャッタリング・バーが、不連続なスキャッタリング・バーを少なくとも1本含み、該少なくとも1本の不連続なスキャッタリング・バーが、複数の前記絶縁材料からなる領域によって分離された複数の導電材料からなる領域を含むことが好ましい。
【発明の効果】
【0033】
本発明によれば、導線パターンの両側近傍にスキャッタリング・バーパターンを配置するため、導線パターンの焦点深度が改善すると共に、リソグラフィープロセスの解像度が向上する。また、スキャッタリング・バーは、半導体デバイスの活性領域と電気的に接続しないため、完成後の半導体デバイスの構造中に残しておくことができ、これらを除去するための追加の工程は必要ない。さらに、本発明によれば、密集領域と孤立領域における導線の外形寸法が等しい半導体デバイスを作製することができ、これによって、半導体デバイスの性能が改善され、ダイの異なる領域における抵抗率Rsが均一となる。
【発明を実施するための最良の形態】
【0034】
本発明の好ましい実施形態は、半導体デバイスの導電材料層内にスキャッタリング・バーを形成することによって、技術的に有利な効果を達成させるものである。このスキャッタリング・バーのパターンは、ダマシンプロセスにおいて導線のパターンが形成される時に形成され、かつ、スキャッタリング・バーは、完成品としての半導体デバイス中に残したままとする。このスキャッタリング・バーによって、その近傍に位置する導線の焦点深度が向上されると共に、形成された導線の解像度が改善される。
【0035】
本発明の好ましい実施形態による半導体デバイスの製造方法は、ワークピースを準備する工程、前記ワークピース上に第1の絶縁材料を形成する工程、ならびに前記第1の絶縁材料をパターニングして第1の導線パターンおよび少なくとも1本のスキャッタリング・バーパターンを形成する工程を含む。前記第1の導線パターンは、第1の側辺とこの第1の側辺に対向する第2の側辺とを有する。前記少なくとも1本のスキャッタリング・バーパターンは、前記第1の導線パターンにおける前記第1の側辺または前記第2の側辺のうち少なくともいずれか一方側近傍に、前記第1の導線パターンの焦点深度を高めるに十分な距離だけ前記第1の導線パターンから間隔をあけて配置されている。そして、前記第1の絶縁材料上に導電材料が堆積されると、前記第1の導線パターンおよび前記少なくとも1本のスキャッタリング・バーパターンがこの導電材料で充填され、これによって第1の導線と、前記第1の導線パターンの第1の側辺および第2の側辺のうち少なくともいずれか一方側近傍に位置する少なくとも1本のスキャッタリング・バーとが形成される。前記スキャッタリング・バーは、製造プロセスが終了した後にも半導体デバイス中に残したままにする。
【0036】
本発明の別な好ましい実施形態による半導体デバイスの製造方法は、ワークピースを準備する工程、前記ワークピース上に第1の絶縁材料を形成する工程、ならびに前記第1の絶縁材料をパターニングして、少なくとも1本の第1の導線パターン、第1のスキャッタリング・バーパターンおよび第2のスキャッタリング・バーパターンを形成する工程を含む。前記少なくとも1本の第1の導線パターンは、第1の側辺とこの第1の側辺に対向する第2の側辺とを有する。前記第1のスキャッタリング・バーパターンは、前記少なくとも1本の第1の導線パターンの第1の側辺側近傍に位置しており、第2のスキャッタリング・バーパターンは、前記少なくとも1本の第1の導線パターンの第2の側辺側近傍に位置している。前記第1のスキャッタリング・バーパターンおよび第2のスキャッタリング・バーパターンは、前記少なくとも1本の第1の導線パターンの焦点深度を高めるに十分な距離だけ前記少なくとも1本の第1の導線パターンから間隔をあけて配置される。前記第1の絶縁材料上に導電材料が堆積されて、前記少なくとも1本の第1の導線パターン、前記第1のスキャッタリング・バーパターンおよび前記第2のスキャッタリング・バーパターンがこの導電材料で充填されると、少なくとも1本の第1の導線と、第1のスキャッタリング・バーと、第2のスキャッタリング・バーとが同時に形成されることとなる。前記少なくとも1本の第1の導線は、第1の側辺とこの第1の側辺に対向する第2の側辺とを有する。前記第1のスキャッタリング・バーは、前記少なくとも1本の第1の導線の第1の側辺側近傍に位置し、前記第2のスキャッタリング・バーは、前記少なくとも1本の第1の導線の第2の側辺側近傍に位置する。前記第1のスキャッタリング・バーおよび第2のスキャッタリング・バーは、製造プロセスが終了した後にも半導体デバイス中に残したままにする。
【0037】
本発明のまた別な好ましい実施形態による半導体デバイスの製造方法は、ワークピースを準備する工程、前記ワークピース上に感光性絶縁材料を形成する工程、前記感光性絶縁材料に直接パターニングを行って、第1の導線パターンと、少なくとも1本のスキャッタリング・バーパターンとを形成する工程を含む。前記第1の導線パターンは、第1の側辺とこの第1の側辺に対向する第2の側辺とを有する。前記少なくとも1本のスキャッタリング・バーパターンは、前記第1の導体パターンの第1の側辺、第2の側辺または第1の側辺および第2の側辺両側近傍に位置する。前記少なくとも1本のスキャッタリング・バーパターンは、前記第1の導線パターンの焦点深度を高めるに十分な距離だけ、前記第1の導線パターンから間隔をあけて配置される。前記感光性絶縁材料上に導電材料が堆積されて、前記第1の導線パターンおよび前記少なくとも1本のスキャッタリング・バーパターンがこの導電材料で充填されると、第1の導線と、この第1の導線の第1の側辺、第2の側辺または第1の側辺および第2の側辺両側近傍に位置する少なくとも1本のスキャッタリング・バーとが形成される。
【0038】
本発明のまた別な好ましい実施形態による半導体デバイスは、ワークピースと、前記ワークピース上に配置される絶縁材料と、前記絶縁材料内に配置され、第1の側辺およびこの第1の側辺に対向する第2の側辺を有すると共に、第1の長さを持っている第1の導線とを含む。前記絶縁材料内であって前記第1の導線の第1の側辺側近傍に、前記第1の導線の第1の側辺と間隔をあけてN本の第1のスキャッタリング・バーが配置され、該第1のスキャッタリング・バーは、前記第1の長さに略等しい第2の長さを持っている。前記第1の導線は電気的に活性であり、前記N本の第1のスキャッタリング・バーは電気的に不活性である。
【0039】
本発明の好ましい実施形態の利点は、メタライゼーション層における導線の両側にスキャッタリング・バーが形成された半導体デバイスを提供することにある。このスキャッタリング・バーは、導線パターンの焦点深度を改善させると共に、リソグラフィープロセスの解像度を向上させることができる。スキャッタリング・バーは、半導体デバイスの活性領域と電気的に接続しないため、完成後の半導体デバイスの構造中に残しておくことができ、これらを除去するための追加の工程が必要なくなる。本発明の実施形態を利用すれば、密集領域の導線と孤立領域の導線の外形寸法が等しい半導体デバイスを作製することができ、これによって、半導体デバイスの性能が改善され、ダイの異なる領域におけるRsが均一の値となる。
【0040】
上述において、後述の本発明の詳細な説明がより理解され易くなるように、本発明の実施形態の特徴および技術的メリットを大まかに概観した。以下に、本発明の特許請求の対象となる本発明の実施形態のさらなる特徴および技術的メリットについて記載する。ここに開示される概念および所定の実施形態が本発明と同一の目的を達成するために行われる別の構造やプロセスへの変更または設計の基礎として容易に利用され得るものである、ということは、当業者に理解されるはずである。そして、当業者であれば、このような均等な構成が、添付の特許請求の範囲に記載された本発明の精神および範囲から逸脱しないものであることも理解できるはずである。
【0041】
以下に、本発明の好ましい実施形態の構成および利用方式について詳細に説明するが、本発明は、多様な特定の文言によって具体化され得る多くの応用可能な発明概念を提供するものであると理解されるべきである。ここで述べる特定の実施形態は、本発明を構成および利用するための特定の方式を説明するだけのものであって、本発明の範囲を限定するものではない。
【0042】
本発明は、特定の文言によって表現される好ましい実施形態、つまり、密集領域と孤立領域を併せ持つ半導体デバイスに関して説明することができるが、本発明の実施形態は、1つまたは複数のメタライゼーション層を含むその他の半導体デバイスにも適用可能である。本発明の実施形態はまた、半導体デバイスの絶縁、導電、および/または半導体材料層をパターニングするのに用いられるリソグラフィープロセスにも応用することができる。
【0043】
図1および2は、本発明の1実施形態による密集領域と孤立領域を備えた半導体デバイスであって、孤立領域における導線の両側に、該導線の長さ方向に沿ってスキャッタリング・バーが付加された半導体デバイスを説明する図である。図3は、図2における半導体デバイスの断面図を示すものである。先ず、図1を参照にすると、密集して配置された複数の導線106aを含む密集領域102と、少なくとも1つの孤立した導線106bを含む孤立領域104とを備える半導体デバイス100が示されている。図1では1つの導線106bのみが示されているが、孤立領域104には複数の導線106bが存在していてもよい。ただし、孤立領域104における導線106bは、半導体デバイス100の密集領域102における導線106aほど密に配置されることはない。
【0044】
本発明の実施形態によると、図1に示すように半導体デバイス100のレイアウトを設計した後、図2に示すように孤立領域104における半導体デバイス100のパターンレイアウトにスキャッタリング・バー108aおよび108bを付加する。これらスキャッタリング・バー108aおよび108bは、スキャッタリング・バー108aおよび108bの長さ“c”が示すように、実質的に、孤立した導線106bの長さL全体にわたって、該長さ方向に延伸しているのが好ましい。導線106bの長さLは、数μmまたはその他の寸法であり得る。また、孤立した導線106bは、1実施形態では、約130nm以下である幅“w”を有しているのが好ましいが、その他のサイズでもかまわない。
【0045】
スキャッタリング・バー108aおよび108bは、w/2以上である幅“a”を有しているのが好ましい。例えば、スキャッタリング・バー108aおよび108bは、孤立した導線106bの幅の少なくとも半分であると好適である。1実施形態において、スキャッタリング・バー108aおよび108bは、例えば、w/2である。スキャッタリング・バー108aおよび108bは、孤立した導線106bと間隔“b1”だけ離間させて配置されるのが好ましく、b1は約w/2〜約1μmの間の値である。
【0046】
本発明の1実施形態によると、図示されるように、少なくとも1本のスキャッタリング・バー108aは、導線106bの第1の側辺110a側近傍に配置され、少なくとも1本のスキャッタリング・バー108bは、導線106bの第2の側辺110b側近傍に配置される。複数のスキャッタリング・バー108aおよび108bは、孤立した導線106bの対向し合う側辺110aおよび110b側にそれぞれ配置され得る。半導体デバイス100の孤立領域104に、例えば孤立した導線106bである構造物が複数設置される場合は、スキャッタリング・バー108aおよび108bは、その追加された導線106b(図示せず)の両側にそれぞれ配置されることが好ましい。
【0047】
スキャッタリング・バー108aおよび108bは、孤立した導線106bのリソグラフィープロセスの光近接効果補正(OPC)を達成させ得る点で優れている。スキャッタリング・バー108aおよび108bパターンは、フォトリソグラフィープロセス時における導線106bパターンの焦点深度を高めることができるため、半導体デバイスのクリティカルディメンション(CD)が改善されると共に、半導体デバイス100の密集領域102における導線106aと孤立領域104における導線106bとの抵抗率Rsが揃うこととなる。スキャッタリング・バー108aおよび108bは、半導体デバイス100の製造が完了した後も、半導体デバイス100内に残されるのが好ましい。
【0048】
図3に示すのは、図1および2における半導体デバイス100の断面図である。本発明の1実施形態によると、図示されるように、半導体デバイス100の密集領域102および孤立領域104に、ビア112aおよび112bがそれぞれ形成される。密集領域102において複数の導線106aは、ビア112a上方にビア112aと接するように形成される。孤立領域104には、図示のごとくに、ビア112b上方にビア112bと接するように形成された、孤立した導線106bと、孤立した導線106b両側近傍に位置するこの導線106bと間隔をあけて形成されたスキャッタリング・バー108aおよび108bとが備えられている。
【0049】
ビア112aおよび112b、導線106aおよび106b、ならびにスキャッタリング・バー108aおよび108bは、2つのシングルダマシンプロセスを順次実行する、または1つのデュアルダマシンプロセスを実行することによって、形成することができる。なお、これらプロセスについては後述する。ビア112aおよび112b、導線106aおよび106b、ならびにスキャッタリング・バー108aおよび108bは、図示されるように、絶縁材料118中に形成されるが、後述する2つのシングルダマシンプロセスを採用する場合、絶縁材料118は、2つの絶縁材料層からなるものであってもよい。
【0050】
本発明の1実施形態によれば、各スキャッタリング・バー108aおよび108bの下方には、ビアを含まない領域114aおよび114bが設けられているのが好ましい。つまり、スキャッタリング・バー108aおよび108bは、図示されるように、半導体デバイス100の他の如何なる部分とも電気的に接続することなく、電気的に不活性であるのが好ましい。一方、導線106bは電気的に活性であり、半導体デバイス100における他の接続線または活性な部分と電気的に接続することが好ましい。また、半導体デバイス100には、1つまたは複数のビア112aおよび112bの下方に配置され、任意でこれらと接続していてもよい1つまたは複数の導線116も含まれ得る。
【0051】
図4〜8に示すのは、シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。図4〜8には半導体デバイス100の孤立領域104だけが示されているが、ワークピースの密集領域102に基本的にスキャッタリング・バーを形成しないことを除いては、図示しないワークピースの密集領域102においても同時に同様の工程が行われる。
【0052】
図4に示されるように、先ず、ワークピース120を準備する。このワークピース120は例えば、絶縁層によって被覆されたシリコンまたはその他の半導体材料からなる半導体基板を含むものであり得る。ワークピース120は、前工程(FEOL)で形成された、図示しないその他の活性な部分または回路を含んでいてもよい。例として、ワークピース120は、単結晶シリコンを酸化シリコンで覆ってなるものであり得る。さらに、ワークピース120は、その他の導電層、または、例えばトランジスタ、ダイオードなどの半導体デバイスを含んでいてもよい。また、例えばGaAs、InP、Si/GeまたはSiCといった化合物半導体を、シリコンの代わりに用いることもできる。
【0053】
図4に示されるように、ワークピース120上に、1つまたは複数の導線116を含む第1のメタライゼーション層を任意で形成してもよい。
【0054】
次いで、第1のシングルダマシンプロセスにより配線用のビアの層を形成する。この第1のシングルダマシンプロセスにおいては、第1の絶縁材料122を導線116上に形成するか、あるいは、導線116がない場合にはワークピース120上に形成する。第1の絶縁材料122をパターニングしてビア112bのパターンを形成するには、例えば、第1の絶縁材料122上にフォトレジスト(図示せず)を堆積してから、リソグラフィーマスク(図示せず)を用いてフォトレジストをパターニングし、そのフォトレジストで第1の絶縁材料122をパターニングする、という方式をとることができる。また、この方式の代わりとして、第1の絶縁材料122を、例えば、電子ビームリソグラフィー(EBL)またはその他直接パターニング方式によってパターン化することも可能である。
【0055】
そして、導電材料を第1の絶縁材料122上に堆積してから、CMPまたはエッチング法により第1の絶縁材料122上面の余分な導電材料を除去して、ワークピース120の孤立領域104内にビア112bを形成する。
【0056】
次に、第2のシングルダマシンプロセスにより、導線を含む配線層またはメタライゼーション層を形成する。この第2のシングルダマシンプロセスでは、図5に示されるように、第1の絶縁材料122およびビア112bの上に第2の絶縁材料124を堆積または形成する。続いて、この第2の絶縁材料124を、例えば、第2の絶縁材料124上にフォトレジスト126を堆積してから、導線とスキャッタリング・バーのパターンが形成されたリソグラフィーマスク128を用いてフォトレジスト126をパターニングすることによりパターン化する。例えば、マスク128は、透明領域130と不透明領域132とが形成されてなるものであることが好ましい。図示されるように、エネルギーがマスク128を通過するとき、不透明材料132が含まれない領域は、そのエネルギーを通過させ、フォトレジスト126を露光させる。次に、フォトレジスト126を除去することにより、図6に示すような、導線のパターン134と、導線のパターン134の両側に位置する少なくとも1本のスキャッタリング・バーパターン136aおよび136bとを有する構造を残す。また、第1の絶縁材料122について説明したように、第2の絶縁材料124に対して直接パターニングを行ってもよい。
【0057】
そして、図7に示されるように、パターニングされた第2の絶縁材料124の上面に、導電材料138を堆積する。すると、図示されるように、導電材料138は、導線のパターン134を充填すると共に、スキャッタリング・バーのパターン136aおよび136bのパターンを充填することとなる。
【0058】
次いで、例えばCMPまたはエッチング法などにより、第2の絶縁材料124上面の余分な導電材料138を除去し、図8に示す構造を残す。この構造は、各ビア112b上に形成された導線106b、導線106bの一側辺側に位置するスキャッタリング・バー108a、および導線106bの対向する他側辺側に位置するスキャッタリング・バー108bを含んでいる。なお、この構造には、任意で導線116があってもよいし、また含まれていなくてもよい。ビア112bは、メタライゼーション層下方の、この任意に設けられる導線116と電気的に接続する、または、例えばワークピース120の活性領域140、半導体デバイス100における他の部分に位置する別の導線もしくは活性な部分と電気的に接続するものとすることができる。
【0059】
半導体デバイス100の密集領域102には、図4〜8に示される孤立領域104について説明したのと同様に、導線106aおよびビア112aが同時に形成されることが好ましい(図3参照)。本発明の実施形態は、既存の製造工程に容易に取り入れることができるものであり、しかも、リソグラフィーマスクを追加する必要がなくなる。
【0060】
ここで挙げる導線106および116、スキャッタリング・バー108、ならびにビア112は、例えば、銅、アルミニウム、タングステン、その他の導電材料、またはこれらの組み合わせからなることが好ましい。そして、かかる銅、アルミニウム、タングステン、その他の導電材料、またはこれらの組み合わせを堆積するに先立って、1つまたは複数のバリア層およびシード層を堆積することもできる。
【0061】
また、ここに挙げる絶縁層118、122および124は、配線層に用いる従来の絶縁材料、例えばSiO2を含んでなるのが好ましいが、low-K材料を含むものであってもよい。low-K材料の例としては、アプライドマテリアルズ社製Black Diamond(商標)といったダイアモンド・ライク・カーボン、リンドープシリケートガラス(PSG)、ボロン・リンドープシリケートガラス(BPSG)、フッ化シリケートガラスもしくはフッ化酸化シリコンガラス(FSG)、SiOxy、スピンオンガラス、スピンオンポリマー、ダウ・ケミカル社製SILK(商標)、ハネウェル社製FLARE(商標)、JSRマイクロ社製LKD(Low-K誘電体)、水酸化シリコンカーボン(SiCOH)、水素化アモルファスシリコン(a-Si:H)、SiOxy、SiC、SiCO、SiCH、これらの複合体、混合物、および/またはこれらの組み合わせなどがある。用途によっては、絶縁層118、122および124は、例えば、約4.0を超える誘電率を持った高誘電率材料からなるものとしてもよい。あるいは、絶縁層118、122および124は、例えば、1つもしくは複数のLow-K材料、high-K材料、またはシリコン酸化膜を組み合せたものであってもよい。
【0062】
図9〜12に示すのは、デュアルダマシンプロセスに適用される本発明の実施形態であって、半導体デバイスの孤立領域204に導線パターンとスキャッタリング・バーパターンを形成する前にビアパターンを形成する実施形態を説明する断面図である。各構成要素には、図4〜8に準じた符号の付し方をしているので、説明の重複を回避すべく、ここでは図中の各符号について詳述はしない。つまり、図9〜12における各構成要素は、基本的に、図4〜8における各構成要素に相当し、同じ材料を用いてなるものである(x02、x04、x06など)。このxは、図4〜8においては1であり、図9〜12においては2である。例として、図4〜8について説明した導線106、スキャッタリング・バー108およびビア112の導電材料として挙げられた好ましい乃至代替の材料は、図9から12における導線206、スキャッタリング・バー208およびビア212の導電材料としても用いられることが好ましい。
【0063】
図9に示されるように、この実施形態において、絶縁材料層218は、ワークピース220上に形成または堆積される。絶縁材料層218は、例えば、図4〜8に示される第1の絶縁材料122および第2の絶縁材料124よりも厚いものであると好ましい。絶縁材料層218は、半導体デバイスの2つのビア、導線およびスキャッタリング・バーを形成させるに十分な厚さを有していなければならない。上述と同様に、図9〜図12には、半導体デバイスの孤立領域204だけが示されているが、図3に示されるように半導体デバイスの密集領域にもビアおよび導線が同時に形成される。絶縁材料層218は、例えば、絶縁材料層118、122および124について上述したのと同じ材料を含んでいると好ましい。
【0064】
再び図9を参照する。ビアファーストデュアルダマシンプロセスにおいては、先ず、絶縁材料層218をパターニングしてビアのパターン242を形成する。図示されるように、絶縁材料層218は、その全厚さにわたってパターン化されることが好ましい。
【0065】
次に、図10および11に示すように、絶縁材料層218をパターニングして導線のパターン234、ならびにスキャッタリング・バーのパターン236aおよび236bを形成する。絶縁材料層218は、例えば、図10に示されるように、絶縁材料層218上面にフォトレジスト226を堆積してから、透明領域230および不透明領域232のパターンが形成されたマスク228を用いてこのフォトレジスト226をパターニングするという方式によってパターン化される。
【0066】
続いて、図11に示されように、フォトレジスト226をマスクとして用い、絶縁材料層218の上部をパターニングする。絶縁材料層218の上部のパターンには、図示するように、ビアパターン242上に堆積される導線パターン234が含まれると共に、この導線パターン234の両側に、導線パターン234近傍に位置するが間隔をあけて配置されるスキャッタリング・バーパターン236aおよび236bが含まれる。
【0067】
上記の方式の代わりとして、絶縁材料層218に、例えばEBLなどでビアパターン242と導線パターン234とスキャッタリング・バーパターン236aおよび236bとを直接パターニングすることもできる。
【0068】
次に、パターニングされた絶縁材料層218上面に導電材料を堆積してから、余分な導電材料を除去して、図12に示されるように、導線206b、導線206bの一側辺側近傍に位置するスキャッタリング・バー208a、および導線206bの他側辺側近傍に位置するスキャッタリング・バー208bを形成する。
【0069】
トレンチファーストデュアルダマシン構造も、図9〜12に示したものと同様の工程によって形成され得る。この実施形態においては、先ず、図10に示されるマスク228を用いてフォトレジスト226をパターンニングしてから、このパターニングされたフォトレジスト226を用いて絶縁材料層218の上部をパターニングし、孤立した導線のパターン236ならびにこの導線パターン234の両側近傍にスキャッタリング・バーのパターン236aおよび236bを形成する。続いて、上記とは異なるリソグラフィーマスクを用い、絶縁材料層218をパターニングして、絶縁材料層218にビアのパターン242を形成する。あるいは、絶縁材料層218をパターニングするのにリソグラフィーマスクを用いる代わりに、絶縁材料層218上にフォトレジストを直接堆積し、EBLまたはその他直接パターニング方式によってこのフォトレジストを直接パターニングすることとしてもよい。引き続き、このフォトレジストをマスクとして絶縁材料層218にエッチングを施すことにより、フォトレジスト上のパターンを絶縁材料層218に転写する。そして同じように、パターン化された絶縁材料層218に導電材料を堆積して、図12に示すような、孤立した導線206bの両側にスキャッタリング・バー208aおよび208bがそれぞれ配置された構造を残す。
【0070】
図13〜17は、デュアルダマシンプロセスに適用される本発明の別な好ましい実施形態であって、絶縁材料に感光性材料を用いた実施形態を説明する断面図である。各構成要素には、図4〜8および9〜12に準じた符号の付し方をしているので、説明の重複を避けるため、図中に示される各符号についてここでは詳細に説明しない。つまり、図13〜17における各構成要素は、基本的に、図4〜8および図9〜12における各構成要素に相当し、同じ材料を用いてなるものである(x02、x04、x06など)。このうち、図4〜8のxは1、図9〜12のxは2、図13〜17のxは3である。
【0071】
この実施形態では、ワークピース320に堆積される絶縁材料344は、感光性の絶縁または誘電材料からなる。よって、この感光性絶縁材料344をパターニングするのに、フォトレジストを用いる必要はない。明確に言えば、この実施形態においては、図13に示されるように、感光性絶縁材料344は、透明領域348と不透明領域350を備えるリソグラフィーマスク346を用いて直接パターニングされる。一例において、感光性絶縁材料344はシリコンからなることが好ましく、あるいは、有機材料からなるものであってもよく、またさらに、感光性絶縁材料344は、その他直接パターニングすることのできる材料からなるものであってもよい。
【0072】
続く図14〜17には、トレンチファーストデュアルダマシンプロセスが示されている。先ず、図14に示されるように、感光性絶縁材料344をパターニングして、孤立した導線334のパターン、ならびにこの孤立した導線パターン334の両側近傍にそれぞれ位置するスキャッタリング・バーのパターン336aおよび336bを形成する。次に、図16に示すように、不透明領域332にビアパターンが形成されたマスク328を用い、感光性絶縁材料344の、孤立した導線のパターン334下方の領域をパターニングする。ここでも同様に、ビアパターン342は、孤立した導線のパターン334の下方に形成されることが好ましい。ワークピース320と電気的に接続がなされるように、ビアパターン342は、絶縁材料344における導線以下の残りの部分全体にわたって延びるのが好ましい。また、リソグラフィーマスクを用いずに、感光性絶縁材料344を直接パターニングしてもよい。
【0073】
続いて、図17に示すように、パターン化された感光性絶縁材料344上に導電材料を堆積して、パターン334、342、336aおよび336bを充填し、電気的に接続する孤立した導線306bとビア312b、ならびに電気的に活性でないスキャッタリング・バー308aおよび308bを形成する。ここでも同様に、図示しない半導体デバイスの密集領域にも、密に配される導線およびビアを同時に形成することが好ましい(図3参照)。
【0074】
図18に示すのは、本発明の好ましい実施形態であって、N本のスキャッタリング・バー408a1〜408aN、およびN本の408b1〜408bNが、半導体デバイスの孤立領域404における導線406bの両側にそれぞれ配置される実施形態を説明する上面図である。この実施形態では、複数のスキャッタリング・バー408a1〜408aNおよび408b1〜408bNは、半導体デバイスの孤立領域404における孤立した導線406bの両側にそれぞれ形成される。一例において、数Nは4以下である。例えば、1本、2本、3本または4本のスキャッタリング・バー408a1〜408aNおよび408b1〜408bNが、導線406bの両側にそれぞれ配置される。導線406bの両側にそれぞれ配されるスキャッタリング・バー408a1〜408aNおよび408b1〜408bNの数Nは、同数であることが好ましい。各スキャッタリング・バー408a1〜408aNおよび408b1〜408bNと、その隣り合うスキャッタリング・バー408a1〜408aNおよび408b1〜408bNならびに導線406bとは、例えば、0.5μm以下の間隔を介して配されることが好ましい。
【0075】
図19に示すのは、本発明の別の好ましい実施形態を説明する上面図である。図示するように、スキャッタリング・バー552aおよび552bは、不連続に形成された導電材料からなっている。スキャッタリング・バー552aおよび552bの切れ目の間隔dは、例えば約0.1μm以下であることが好ましい。この実施形態において、スキャッタリング・バーのパターンは、複数の切れ目領域dによって分離された複数の溝を含む。つまり、この実施形態におけるスキャッタリング・バー552aおよび552bは、図示するように、複数の絶縁材料518の領域によって分離された複数の導電材料の領域をそれぞれ含む。
【0076】
図20に示すのは、本発明の1実施形態を説明する上面図である。ここで、孤立領域604における導線606bおよび606cは直線状の導線ではなく、また、導線606bおよび608cの端部近傍には小さいスキャッタリング・バー608e、608fおよび608gがさらに追加して設けられている。この実施形態において、孤立領域604は、実質的に直線状の細長い導線606dおよび606eを含んでいる。一方で、導線606bおよび606cは直線ではなく、L字形、S字型または横方向に延伸するもの、いくつかの屈曲(bend)があるものとすることができる。スキャッタリング・バー608a〜608gは、図示するように、導線606b〜606eの側辺または端部近傍に配置されるのが好ましい。例として、スキャッタリング・バー608aは、導線606bの一側辺側近傍に配置されている。このスキャッタリング・バー608aは、導線606bと同じ長さの範囲で、導線606bと同じように屈曲している。スキャッタリング・バー608bおよび608cは、実質的に細長い直線状であり、導線606dおよび606eと同じ形をしている。スキャッタリング・バー608dは、図示されるように、導線606cの一部に沿って伸びており、この場合に、スキャッタリング・バー608bは、導線606cの下側の長さに合わせて延びる。また、スキャッタリング・バー608e、608fおよび608gは、導線606bおよび606cの端部近傍に配置される短いパターンである。
【0077】
なお、この実施形態において、導線606bと606c、および606dと606eは極めて近接していることに注目すべきである。それ故に、この実施形態では、これら近接する導線どうしの間にスキャッタリング・バーは必要ないので、設置されていない。
【0078】
本発明の実施形態により、スキャッタリング・バー608a〜608gを、図20に示すごとく好適な配置とすることで、リソグラフィーは最適に改善され得る。上述の場合と同じように、スキャッタリング・バー608a〜608gは、最終的な製品中に残されたままとなるため、これらを除去するためのプロセスを余計に行う必要はない。
【0079】
図21に示すのは、各サイズのスキャッタリング・バーについての実験結果のグラフであって、焦点深度(DOF)に対する効果を示すものである。このグラフによって、nmで表される各スキャッタリング・バーのサイズに対する、μmで表されるDOFが示される。この実験結果から、スキャッタリング・バーの厚みが増すにつれて大きくなる散乱のバイアスにより、焦点深度が向上するということがわかる。
【0080】
本発明の諸実施形態の有利な効果は、半導体デバイスの孤立領域における導線についてのリソグラフィー技術、焦点深度および光学近接効果補正を最適化させるための方法および構造を提供したことにある。さらに、パターンの疎密の差により生じるエッチングのローディング効果を改善すると共に、局部CMPのローディング効果を改善することができるという有利な効果もある。
【0081】
本明細書に挙げた本発明の諸実施形態は、ダマシンパターニングプロセスに用いられる場合に特に有利であるが、ここに記載されたスキャッタリング・バーは、例えば、導電材料をワークピース上に堆積し、この導電材料をパターニングして、導線とこの導線両側に位置する少なくとも1本のスキャッタリング・バーのパターンとを形成したのち、導線とスキャッタリング・バーとの間のスペースを絶縁材料で充填するというサブトラクティブ法を行うことによって、メタライゼーション層内に形成されてもよい。スキャッタリング・バーがメタライゼーション層内に形成されると、導線のクリティカルディメンション(CD)の改善および制御が可能となると共に、DOFおよび近接効果について最適なプロセスウィンドウが得られる。
【0082】
以上、本発明とその長所を詳細に説明したが、添付の特許請求の範囲によって定義される本発明の範囲を逸脱しない限りにおいては、各種の変更、置換および修正は可能であると解されるべきである。例えば、ここに記載した特徴、機能、プロセスおよび材料の多くが、本発明に含まれる範囲内で変更は可能であるということを、当業者であれば容易に理解できるであろう。さらに、本出願の範囲は、この明細書に記載した、プロセス、機械、製造、組成物、手段、方法およびステップについての特定の実施形態に限定されるものではない。当技術分野における通常の知識を有する者であれば本発明の開示から容易に理解できるように、ここに記載した対応する実施形態と実質的に同一に機能し、または実質的に同一の効果を達成する、現存のまたは今後開発されるであろうプロセス、機械、製造、組成物、手段、方法または工程は、本発明に基づいて利用することができる。したがって、添付した特許請求の範囲は、このようなプロセス、機械、製造、組成物、手段、方法または工程が含まれるものである。
【図面の簡単な説明】
【0083】
【図1】本発明の1実施形態による密集領域と孤立領域を備えた半導体デバイスであって、孤立領域における導線の両側に該導線の長さ方向に沿って延びるスキャッタリング・バーが付加された半導体デバイスを説明する図である。
【図2】本発明の1実施形態による密集領域と孤立領域を備えた半導体デバイスであって、孤立領域における導線の両側に該導線の長さ方向に沿って延びるスキャッタリング・バーが付加された半導体デバイスを説明する図である。
【図3】図2に示す半導体デバイスの断面図である。
【図4】シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。
【図5】シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。
【図6】シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。
【図7】シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。
【図8】シングルダマシンプロセスに適用される本発明の好ましい1実施形態を説明する断面図である。
【図9】デュアルダマシンプロセスに適用される本発明の1実施形態であって、半導体デバイスの孤立領域に導線およびスキャッタリング・バーのパターンを形成するに先立ちビアパターンを形成する実施形態を説明する断面図である。
【図10】デュアルダマシンプロセスに適用される本発明の1実施形態であって、半導体デバイスの孤立領域に導線およびスキャッタリング・バーのパターンを形成するに先立ちビアパターンを形成する実施形態を説明する断面図である。
【図11】デュアルダマシンプロセスに適用される本発明の1実施形態であって、半導体デバイスの孤立領域に導線およびスキャッタリング・バーのパターンを形成するに先立ちビアパターンを形成する実施形態を説明する断面図である。
【図12】デュアルダマシンプロセスに適用される本発明の1実施形態であって、半導体デバイスの孤立領域に導線およびスキャッタリング・バーのパターンを形成するに先立ちビアパターンを形成する実施形態を説明する断面図である。
【図13】デュアルダマシンプロセスに適用される本発明の1実施形態であって、絶縁材料として光感光性材料を用い、かつ、ビアパターンの形成に先立って導線およびスキャッタリング・バーのパターンを形成する実施形態を説明する断面図である。
【図14】デュアルダマシンプロセスに適用される本発明の1実施形態であって、絶縁材料として光感光性材料を用い、かつ、ビアパターンの形成に先立って導線およびスキャッタリング・バーのパターンを形成する実施形態を説明する断面図である。
【図15】デュアルダマシンプロセスに適用される本発明の1実施形態であって、絶縁材料として光感光性材料を用い、かつ、ビアパターンの形成に先立って導線およびスキャッタリング・バーのパターンを形成する実施形態を説明する断面図である。
【図16】デュアルダマシンプロセスに適用される本発明の1実施形態であって、絶縁材料として光感光性材料を用い、かつ、ビアパターンの形成に先立って導線およびスキャッタリング・バーのパターンを形成する実施形態を説明する断面図である。
【図17】デュアルダマシンプロセスに適用される本発明の1実施形態であって、絶縁材料として光感光性材料を用い、かつ、ビアパターンの形成に先立って導線およびスキャッタリング・バーのパターンを形成する実施形態を説明する断面図である。
【図18】半導体デバイスの孤立領域における導線の両側にN本のスキャッタリング・バーが配置された、本発明の好ましい1実施形態を説明する上面図である。
【図19】スキャッタリング・バーが不連続の導電材料のラインからなる、本発明の好ましい別の実施形態を説明する上面図である。
【図20】孤立領域の導線が直線状でないと共に、追加のスキャッタリング・バーが導線の端部近傍に付加されている本発明の1実施形態を説明する上面図である。
【図21】隣に位置する導線の焦点深度(DOF)への効果を示す、各サイズのスキャッタリング・バーの実験結果を表すグラフである。
【符号の説明】
【0084】
100 半導体デバイス
102 密集領域
104 孤立領域
106a、106b 導線
108a、108b スキャッタリング・バー
110a 第1の側辺
110b 第2の側辺
112a、112b ビア
114a、114b 領域
118 絶縁材料層
120 ワークピース
122 絶縁材料層
124 絶縁材料層
126 フォトレジスト
128 フォトマスク
130 透明領域
132 不透明領域
134 導線のパターン
136a、136b スキャッタリング・バーのパターン
138 導電材料
140 活性領域
204 孤立領域
206b 導線
208a、208b スキャッタリング・バー
212b ビア
218 絶縁材料層
220 ワークピース
226 フォトレジスト
228 フォトマスク
230 透明領域
232 不透明領域
234 導線のパターン
236a、236b スキャッタリング・バーのパターン
242 ビアのパターン
304 孤立領域
306b 導線
308a、308b スキャッタリング・バー
312b ビア
320 ワークピース
328 フォトマスク
330 透明領域
332 不透明領域
334 導線のパターン
336a、336b スキャッタリング・バーのパターン
342 ビアのパターン
344 絶縁材料
346 フォトマスク
348 透明領域
350 不透明領域
404 孤立領域
406b 導線
408a1〜N スキャッタリング・バー
408b1〜N スキャッタリング・バー
504 孤立領域
506b 導線
552a、552b スキャッタリング・バー
518 絶縁材料
604 孤立領域
606b、606c、606d、606e 導線
608a〜g スキャッタリング・バー

【特許請求の範囲】
【請求項1】
ワークピースと、
前記ワークピース上に配置される絶縁材料と、
前記絶縁材料内に配置され、第1の側辺およびこの第1の側辺に対向する第2の側辺を有すると共に、第1の長さを持っている第1の導線と、
前記絶縁材料内であって前記第1の導線の第1の側辺側近傍に前記第1の導線の第1の側辺から離間させて配置され、前記第1の長さに略等しい第2の長さを持っているN本の第1のスキャッタリング・バーとを含み、
前記第1の導線は電気的に活性であり、前記N本の第1のスキャッタリング・バーは電気的に不活性である半導体デバイス。
【請求項2】
前記絶縁材料内であって前記第1の導線の第2の側辺側近傍に前記第1の導線の第2の側辺から離間させて配置され、前記第1の長さと略等しい第3の長さを持っていると共に、電気的に不活性であるN本の第2のスキャッタリング・バーをさらに含む請求項1記載の半導体デバイス。
【請求項3】
前記Nが1、2、3または4である請求項2記載の半導体デバイス。
【請求項4】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが第1の幅を有し、前記第1の導線が第2の幅を有し、前記第1の幅が前記第2の幅の約1/2〜前記第2の幅と等しい大きさである請求項2記載の半導体デバイス。
【請求項5】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが前記第1の導線と約0.5μm以下の間隔だけ離間している請求項2記載の半導体デバイス。
【請求項6】
前記絶縁材料内であって前記第1の導線の端部近傍に前記第1の導線の端部と間隔をあけて形成された少なくとも1本の第3のスキャッタリング・バーをさらに含む請求項2記載の半導体デバイス。
【請求項7】
前記N本の第1のスキャッタリング・バーまたは前記N本の第2のスキャッタリング・バーが、不連続なスキャッタリング・バーを少なくとも1本含み、該少なくとも1本の不連続なスキャッタリング・バーが、複数の前記絶縁材料からなる領域によって分離された複数の導電材料からなる領域を含む請求項2記載の半導体デバイス。
【請求項8】
前記少なくとも1本の不連続なスキャッタリング・バーのパターンが、幅約0.1μm以下である複数の切れ目領域を含む請求項7記載の半導体デバイス。
【請求項9】
前記第1の導線の幅が約130nm以下である請求項1記載の半導体デバイス。
【請求項10】
前記絶縁材料が感光性誘電材料からなる請求項1記載の半導体デバイス。
【請求項11】
前記感光性誘電材料がシリコンまたは有機材料からなる請求項10記載の半導体デバイス。
【請求項12】
前記第1導線が、直線、曲線、L字形またはこれらの組み合わせからなる請求項1記載の半導体デバイス。
【請求項13】
ワークピースと、
前記ワークピース上に配置される絶縁材料と、
前記絶縁材料内に配置され、第1の側辺および第2の側辺を有すると共に、第1の長さを持っている第1の導線と、
前記絶縁材料内であって前記第1の導線の第1の側辺側近傍に前記第1の導線の第1の側辺から離間させて配置され、前記第1の長さに略等しい第2の長さを持っている少なくとも1本の第1のスキャッタリング・バーとを含み、
前記第1の導線は電気的に活性であり、前記少なくとも1本の第1のスキャッタリング・バーは電気的に不活性である半導体デバイス。
【請求項14】
前記絶縁材料内であって前記第1の導線の第2の側辺側近傍に前記第1の導線の第2の側辺から離間させて配置され、前記第1の長さと略等しい第3の長さを持っていると共に、電気的に不活性である少なくとも1本の第2のスキャッタリング・バーをさらに含む請求項13記載の半導体デバイス。
【請求項15】
前記第1のスキャッタリング・バーの数と前記第2のスキャッタリング・バーの数とが等しい請求項14記載の半導体デバイス。
【請求項16】
前記第1のスキャッタリング・バーの数と前記第2のスキャッタリング・バーの数とが等しくない請求項14記載の半導体デバイス。
【請求項17】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが第1の幅を有し、前記第1の導線が第2の幅を有し、前記第1の幅が前記第2の幅の約1/2〜前記第2の幅と等しい大きさである請求項14記載の半導体デバイス。
【請求項18】
前記第1のスキャッタリング・バーおよび前記第2のスキャッタリング・バーが前記第1の導線と約0.5μm以下の間隔だけ離間している請求項14記載の半導体デバイス。
【請求項19】
前記絶縁材料内であって前記第1の導線の端部近傍に前記第1の導線の端部と間隔をあけて形成された少なくとも1本の第3のスキャッタリング・バーをさらに含む請求項13記載の半導体デバイス。
【請求項20】
前記少なくとも1本の第1のスキャッタリング・バーが、不連続なスキャッタリング・バーを少なくとも1本含み、該少なくとも1本の不連続なスキャッタリング・バーが、複数の前記絶縁材料からなる領域によって分離された複数の導電材料からなる領域を含む請求項13記載の半導体デバイス。



【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate

【図11】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate

【図15】
image rotate

【図16】
image rotate

【図17】
image rotate

【図18】
image rotate

【図19】
image rotate

【図20】
image rotate

【図21】
image rotate


【公開番号】特開2006−5350(P2006−5350A)
【公開日】平成18年1月5日(2006.1.5)
【国際特許分類】
【出願番号】特願2005−172960(P2005−172960)
【出願日】平成17年6月13日(2005.6.13)
【出願人】(500262038)台湾積體電路製造股▲ふん▼有限公司 (198)
【Fターム(参考)】