説明

金属および誘電体相溶性の犠牲反射防止コーティング浄化および除去組成物

犠牲反射防止コーティング(SARC)材料を、その上にそれを有する基板から除去するための液体除去組成物およびプロセス。液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。その組成物は、アルミニウム、銅およびコバルト合金などの基板上の金属種の腐食を最小限にし、かつ半導体構造で使用される低k誘電材料に損傷を与えることなく、集積回路の製造におけるSARC材料の少なくとも部分的な除去を達成する。

【発明の詳細な説明】
【技術分野】
【0001】
発明の分野
本発明は、シリケートの剥離に有用な液体除去組成物およびプロセス、特に、犠牲反射防止シリケート材料が、液体除去組成物によって影響を受けないことが望まれる、下地シリケート材料および相互連結金属、例えば、アルミニウム、銅およびコバルト合金と共に存在する場合に、例えば、その上にかかる材料が付着されたマイクロ電子デバイスから犠牲反射防止シリケート材料および/またはエッチング後残留物を液体除去することに関する。
【背景技術】
【0002】
関連技術の説明
現在、フォトリソグラフィー産業では開発された4つの照射波長−436nm、365nm、248nm、および193nmが使用されており、最近では157nmリソグラフィープロセスに努力が傾注されている。理論的には、各波長が減少するに従って、小さな形態(feature)を半導体チップ上に形成することができる。しかしながら、マイクロ電子デバイス基板の反射率はフォトリソグラフィー波長に反比例することから、干渉および不均等に露光されたフォトレジストでは、マイクロ電子デバイスの限界寸法の一致性が限られていた。
【0003】
例えば、深紫外線(DUV)に露光すると、基板のDUV波長に対する高い反射率を併せ持つフォトレジストの透過率によって、深紫外線の反射が起こってフォトレジスト内へと戻り、それによってフォトレジスト層に定在波が生じることはよく知られている。定在波はさらに、フォトレジストに光化学反応を引き起こし、放射線に露光されることが意図されないマスク部においてなど、フォトレジストの不均一な露光が起こり、その結果、線幅、間隔、および他の限界寸法のばらつきが生じる。
【0004】
透過率および反射率の問題に取り組むために、二層および三層フォトレジスト、底部反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)が開発された。これらのコーティングは、フォトレジストを塗布する前に基板に塗布される。これらの反射防止コーティングはすべて、通常のデュアルダマシン集積回路で遭遇するウエハー表面に対する平坦化作用を有し、すべてUV発色団をスピンオン(spin−on)ポリマーマトリックス中に組み込み、入射紫外線が吸収される。
【0005】
SiOCベースの誘電体と共に使用した場合、SARCは2つの重要な利点を有する:SARCはTEOSをベースとし、したがって、SiOC誘電体と同じ速度でエッチングした結果、かなり高いエッチング均一性および制御が可能となり、そのため、トレンチエッチング停止が解消され、ビアエッチング停止が厚さ50%まで低減される。エッチングされたフォトレジストおよびエッチングされたBARCに対して、エッチングされたSARCにおけるエッチング後の架橋が著しく増加しないことから、エッチングされたSARCは、液体除去組成物を使用して除去することができる。
【0006】
マイクロ電子デバイスウエハーからのSARC材料のクリーニング除去は、困難であり、かつ/または費用がかかることが分かっている。除去しない場合には、その層は、その後のケイ化物化(silicidation)または接触形成を妨げ得る。一般に、その層は、酸化的もしくは還元的プラズマ灰化または湿式クリーニングによって除去される。しかしながら、プラズマ灰化は、それによって、基板が酸化的もしくは還元的プラズマエッチングにかけられ、形態の形状および寸法が変化することによって、または誘電率を増加することによって、誘電材料に損傷が起こる。後者の問題は、有機シリケートガラス(OSG)または炭素ドープ酸化物ガラスなどの低k誘電材料が下地誘電材料である場合にはより顕著である。したがって、SARC層を除去するためのプラズマ灰化の使用を避けることが望ましい場合が多い。
【0007】
低キャパシタンス(低k)絶縁材もしくは誘電体によって分離された、アルミニウム、銅、コバルト、または他の相互連結金属または相互連結バリアを処理するために、クリーナー/エッチング剤組成物が、バックエンドプロセス(BEOL)用途で使用される場合、SARCを除去するために使用される組成物は、良好な金属相溶性、例えば、銅、アルミニウム、コバルト等における低エッチング速度を有すること、かつ下地シリケート材料が、クリーナー組成物によって影響を受けないことが重要である。廃棄処分技術が簡単であることから、除去水溶液が好ましいが、除去水溶液は、金属相互連結をエッチングまたは腐食することが知られている。
【0008】
したがって、マイクロ電子デバイスの表面からSARC層を完全かつ効率的に除去することができ、同時に、同じ範囲で存在する誘電材料および/または相互連結金属への損傷を最小限に抑える、低含水率の除去組成物が当技術分野において必要とされている。
【発明の開示】
【課題を解決するための手段】
【0009】
発明の概要
本発明は、特に、液体除去組成物によって影響を受けないことが望まれる下地シリケート材料および相互連結金属と共に犠牲反射防止シリケート材料が存在する場合に、その上にかかる材料が付着されたマイクロ電子デバイスの表面から犠牲反射防止シリケート材料を除去するのに有用な、液体除去組成物およびプロセスに関する。具体的には、本発明は、アルミニウム、銅およびコバルト合金相溶性のSARC除去組成物に関する。
【0010】
一の態様において、本発明は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する液体除去組成物に関し、前記液体除去組成物は、犠牲反射防止コーティング(SARC)材料および/またはエッチング後残留物を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である。
【0011】
他の態様において、本発明は、少なくとも1種類のフッ化物含有化合物を含む液体除去組成物試薬、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を1つまたは複数の容器内に含有するキットに関し、そのキットは、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている。
【0012】
更なる態様において、本発明は、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去する方法に関し、前記方法は、マイクロ電子デバイスから前記材料および残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。
【0013】
さらに他の態様において、本発明は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する液体除去組成物に関し、前記液体除去組成物は、犠牲反射防止コーティング(SARC)材料を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である。
【0014】
他の態様において、本発明は、液体除去組成物試薬を1つまたは複数の容器内に含有するキットであって、その液体除去組成物が、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含むキットに関し、そのキットは、SARC材料を、その上に前記材料を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている。
【0015】
更なる態様において、本発明は、SARC材料を、その上に前記材料を有するマイクロ電子デバイスから除去する方法に関し、前記方法は、マイクロ電子デバイスから前記材料を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
【0016】
他の態様において、本発明は、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含む、マイクロ電子デバイスを製造する方法に関し、その液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤を含有する。
【0017】
本発明のさらに他の態様は、本明細書に記載の方法および/または組成物を用いて、SARCおよびエッチング後残留物を、その上に前記材料を有するマイクロ電子デバイスから少なくとも部分的に除去することと、任意に、製品にマイクロ電子デバイスを組み込むことと、を含む本発明の方法を用いて製造された、向上したマイクロ電子デバイスおよびそれを組み込んだ製品に関する。
【0018】
本発明の他の態様、特徴、および実施形態は、次の開示内容および添付の特許請求の範囲から、より完全に理解されよう。
【発明を実施するための最良の形態】
【0019】
発明の詳細な説明、およびその好ましい実施形態
本発明は、犠牲反射防止コーティング(SARC)材料および/またはエッチング後残留物を、その上にかかる材料を有するマイクロ電子デバイスの表面から除去するのに有用である液体除去組成物を企図する。
【0020】
参照を容易にするために、「マイクロ電子デバイス」とは、マイクロエレクトロニクス、集積回路、またはコンピューターチップ用途で使用するために製造される、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)に相当する。「マイクロ電子デバイス」という用語は、決して制限的なことを意味するものではなく、最終的にマイクロ電子デバイスまたはマイクロエレクトロニクスアセンブリとなる、あらゆる基板を含むことを理解されたい。
【0021】
本明細書で定義される、「低k誘電材料」とは、積層(layered)マイクロ電子デバイスにおいて誘電材料として使用されるいずれかの材料に相当し、その材料は、約3.5未満の誘電率を有する。好ましくは、その低k誘電材料は、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機/無機材料、有機シリケートガラス(OSG)、TEOS、フッ素化シリケートガラス(FSG)、二酸化ケイ素、および炭素ドープ酸化物(CDO)ガラスなどの低極性材料を含む。低k誘電材料は、様々な密度および様々な多孔度を有し得ることは理解されよう。
【0022】
本明細書で使用される、「約」は、記載の値の±5%に相当することを意味する。
【0023】
本明細書で使用される、SARC材料および/またはエッチング後残留物を、その上に前記材料を有するマイクロ電子デバイスから除去する「適性」とは、マイクロ電子デバイスからの前記SARCおよび/またはエッチング後残留物質の少なくとも部分的な除去に相当する。好ましくは、材料の少なくとも約90%、さらに好ましくは材料の少なくとも95%、最も好ましくは材料の少なくとも99%が、本発明の組成物を使用してマイクロ電子デバイスから除去される。
【0024】
本明細書で使用される、「エッチング後残留物」とは、気相プラズマエッチングプロセス、例えばBEOLデュアルダマシン加工後に残る材料に相当する。エッチング後残留物は、本質的に有機、有機金属、有機ケイ素、または無機材料、例えばケイ素含有材料、炭素ベースの有機材料、および限定されないが、塩素およびフッ素などのエッチングガス残留物である。
【0025】
本明細書で定義される、「SARC材料」は、二層および三層フォトレジスト、底部(bottom)反射防止コーティング(BARC)および犠牲反射防止コーティング(SARC)に相当し、本質的に有機および/または無機材料である。さらに、SARC材料は、SARC層および/またはSARC含有残留物を含み得る。
【0026】
本発明の組成物は、以下により完全に説明されるように、多種多様な具体的な配合で具体化される。
【0027】
かかるすべての組成物において、組成物の具体的な成分が、下限ゼロを含む重量パーセンテージ範囲を参照して述べられている場合、かかる成分は、組成物の様々な具体的な実施形態中に存在する、または存在しないこと、かつかかる成分が存在する場合には、かかる成分がその中で用いられる組成物の全重量に基づき0.001重量%と低い濃度で存在し得ることを理解されたい。
【0028】
実施形態A
一の態様において、本発明は、SARC層および/またはエッチング後残留物をマイクロ電子デバイスから除去するのに有用な液体除去組成物に関する。本発明の広範な説明における以下のSARC層の具体的な参照は、本発明の実例となる実施例を提供することを意味するものであり、決してそれを制限することを意味するものではない。実施形態Aの配合物は、組成物の全重量に基づき以下の範囲で存在する、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤/不動態化剤と、を含有する。
【0029】
【表1】

【0030】
本発明の広範な実施において、実施形態Aの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤/不動態化剤を含む、からなる、またはから本質的になる。一般に、互いに関する、フッ化物含有化合物、有機溶媒、任意の水、および任意のキレート剤/不動態化剤の具体的な割合および量は、必要以上の努力をすることなく、当業者によって容易に決定可能なように、SARC層種および/または加工装置に液体組成物の所望の除去作用を提供するために適切に変化させることができる。
【0031】
有機溶媒とフッ化物含有化合物とのモル比の範囲は、約1:1〜約120:1、好ましくは約20:1〜約80:1、最も好ましくは約30:1〜約65:1であり;有機溶媒と水(存在する場合)とのモル比の範囲は、約1:1〜約150:1、好ましくは約20:1〜約80:1、最も好ましくは約30:1〜約60:1であり;有機溶媒とキレート剤(存在する場合)とのモル比の範囲は、約1:1〜約300:1である。
【0032】
実施形態Aの組成物は、好ましくは範囲約1〜約5、さらに好ましくは約4未満のpH値を有する。
【0033】
かかる組成物は任意に、活性成分ならびに不活性成分を含む更なる成分、例えば、界面活性剤、安定剤、分散剤、酸化防止剤、浸透剤、補助剤、添加剤、充填剤、賦形剤等を含有し得る。さらに、実施形態Aの組成物は、泡、霧、亜臨界流体または超臨界流体として配合されることも本明細書において企図される。例えば、SCF約100部:実施形態A1部〜約6:1の比、好ましくは約20:1の比で実施形態Aの組成物を二酸化炭素などの超臨界流体に添加することができる。
【0034】
好ましくは、実施形態Aのクリーニング組成物は以下の成分を含有する。
【0035】
【表2】

【0036】
成分のパーセンテージは、組成物の全重量に基づく重量%であり、組成物のかかる成分の重量%の合計は、100重量%を超えない。特に好ましい実施形態において、水は、範囲約0.01重量%〜約1重量%の量で存在し、脱イオン水および非オゾン処理水であり、組成物に添加されるか、または他の成分のうちの1つにおいて残留して存在する。さらに、好ましくは、組成物は、コリン化合物および過酸化水素などの酸化剤を実質的に含有しない。
【0037】
フッ化物の存在が、酸性溶液でシリケート材料をエッチングするのに必要であることから、フッ化物イオンの供給源が必要とされる。フッ化物含有化合物の適切な供給源としては、限定されないが、フッ化水素、フッ化アンモニウム、およびトリエタノールアミンフッ化水素酸塩が挙げられる。その代わりとして、重フッ化アンモニウム((NH)HF)、重フッ化テトラアルキルアンモニウム((R)NHF、式中、Rは、メチル、エチル、プロピル、ブチル、フェニル、ベンジル、またはフッ素化C−Cアルキル基である)などの重フッ化物の塩を使用することができる。2種類以上のフッ化物種の組み合わせもまた、本明細書において企図される。好ましい実施形態において、フッ化物含有化合物としては、フッ化水素が挙げられる。注目すべきことには、フッ化水素は一般に、残りの量の水と共に輸送され、したがって、その後に水が意図的に添加されないとしても、除去組成物中に水が存在し得る。代替方法としては、配合物中に唯一の水が、溶媒から生じる微量の水であるようにするため、気体無水フッ化水素が使用される。無水フッ化水素含有配合物は一般に、水含有配合物よりも優れた金属および誘電体相溶性を示す。
【0038】
有機溶媒種は、溶媒としての役割を果たし、かつSARCおよび/またはエッチング後残留物中に存在し得る有機残留物の溶解を助ける。かかる組成物のための適切な溶媒種としては、限定されないが、直鎖または分枝鎖C−Cアルコール、限定されないが、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、およびヘキサノール;エチレングリコール、プロピレングリコール(1,2−プロパンジオール)、テトラメチレングリコール(1,4−ブタンジオール)およびネオペンチルグリコールなどのグリコール;またはジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、およびトリプロピレングリコールn−ブチルエーテルなどのグリコールエーテルが挙げられる。有用である他の溶媒は、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシドなどの一般的な極性溶媒、および他の極性溶媒である。2種類以上の溶媒種の組み合わせも本明細書において企図される。多孔質低k誘電材料については、有機溶媒種としては、好ましくは1−ブタノールおよび1,4−ブタンジオールが挙げられる。高密度誘電体に最も好ましいクリーニング溶液は、グリコール、極性溶媒およびグリコールエーテルの組み合わせ、さらに好ましくは、エチレングリコール、テトラメチレンスルホンおよびトリプロピレングリコールメチルエーテルの組み合わせまたはエチレングリコール、テトラメチレンスルホンおよびジプロピレングリコールn−ブチルエーテルの組み合わせである。
【0039】
キレート剤を添加して、下地層における金属、例えば銅および/またはコバルトの腐食を低減することができる。かかる組成物におけるキレート剤/不動態化剤は、いずれかの適切な種類のものであり、限定されないが、トリアゾール、例えば、1,2,4−トリアゾール、またはC−Cアルキル、アミノ、チオール、メルカプト、イミノ、カルボキシおよびニトロ基などの置換基で置換されたトリアゾール、例えば、ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール(ハロ=F、Cl、BrまたはI)、ナフトトリアゾール等、ならびにチアゾール、テトラゾール、イミダゾール、ホスフェート、チオールおよびアジン、例えば2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール,4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1,2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、トリトリルホスフェート、インジアゾール等が挙げられる。適切なキレート剤種としてはさらに、グリセロール、アミノ酸、カルボン酸、アルコール、アミド、およびキノリン、例えばグアニン、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、イミノ二酢酸、ベンゾグアナミン、メラミン、チオシラヌル酸、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸等が挙げられる。2種類以上のキレート剤の組み合わせも本明細書において企図される。キレート剤は、マイクロ電子デバイスで使用される金属および誘電材料と組成物との相溶性を高めるために有効に使用される。
【0040】
種々の好ましい実施形態において、実施形態Aの除去組成物は、以下の実施形態A1〜A7で配合され、すべてのパーセンテージは、配合物の全重量に基づく重量による。
【0041】
【表3】

【0042】
【表4】

【0043】
【表5】

【0044】
【表6】

【0045】
【表7】

【0046】
【表8】

【0047】
【表9】

【0048】
種々の好ましい実施形態において、実施形態Aの除去組成物は、以下の配合物AA〜AIで配合され、すべてのパーセンテージは、配合物の全重量に基づく重量基準による:
配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
配合物AZ:エチレングリコール60.0%;1−ペンタノール38.5%;フッ化水素0.735%;水0.765%
配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
【0049】
【表10】

【0050】
配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%。
【0051】
好ましくは、実施形態Aの液体除去組成物は、最高の多孔質低k誘電体相溶性を得るため、1,4−ブタンジオールおよび1−ブタノールを含む。最も好ましくは、液体除去組成物は、配合物AL、AM、AH、およびAIに相当する。
【0052】
実施例Aの組成物は、金属相互連結種および/または低k誘電材料への損傷を最小限にしながら、マイクロ電子デバイス基板からSARC層およびエッチング後残留物を除去するのに特に有効である。対象の金属としては、限定されないが、銅、タングステン、コバルト、アルミニウム、タンタルおよびルテニウムが挙げられる。さらに、実施形態Aの組成物は、水などの溶媒で希釈され、限定されないが、研磨スラリーからの粒子、カーボンリッチ粒子、研磨パッド粒子、ブラシ吸着性粒子、構造粒子の装置材料、銅、酸化銅、およびCMPプロセスの副生成物である他の材料など、CMP後の残留物を除去するために、化学機械研磨(CMP)後の組成物として使用されることが、本明細書で企図される。
【0053】
他の実施形態において、実施形態Aの液体除去組成物は、最高の高密度誘電体相溶性を得るために、エチレングリコール、テトラメチレンスルホンおよびグリコールエーテルを含む。最も好ましくは、液体除去組成物は、配合物ASまたはAVに相当する。
【0054】
さらに他の実施形態において、実施形態Aの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、残留物質の除去のための少なくとも1種類の有機溶媒、任意に水、任意に少なくとも1種類のキレート剤/不動態化剤を含有し、その残留物質としては、SARCおよび/またはエッチング後残留物が挙げられる。重要なことには、残留物質は、本発明の液体除去組成物に溶解かつ/または分散することができる。
【0055】
本発明の液体除去組成物は、各成分を単に添加し、均一な状態にまで混合することによって、容易に配合される。さらに、液体除去組成物は、単一パッケージの配合物、または使用する時点または使用する前に混合される複数パートの配合物として容易に配合することができ、例えば、複数パートの配合物の個々のパートは、器具にて、または器具上流の貯蔵タンク内で混合される。各成分の濃度は、特定の多数の液体除去組成物において大幅に異なり、つまり、本発明の広範な実施においてさらに希釈または濃縮されることができ、本発明の液体除去組成物は様々に、かつ代わりに、本明細書における開示内容と一致する成分のいずれかの組み合わせを含む、からなる、またはから本質的になることを理解されよう。
【0056】
したがって、本発明の他の態様は、1つまたは複数の容器内に、本発明の組成物を形成するように適応された1種または複数種の成分を含むキットに関する。例えば、キットは、製造工場または使用する箇所で任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。その代わりとして、キットは、製造工場または使用する箇所で少なくとも1種類の溶媒および任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。さらに他の実施形態において、キットは、製造工場または使用する箇所に送られる、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、および水を1つまたは複数の容器内に含み得る。キットの容器は、前記液体除去組成物を保管および輸送するのに適していなければならず、例えば、NOWPak(登録商標)容器(米国,コネチカット州ダンベリーのアドバンスト・テクノロジー・マテリアルズ社(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))が挙げられる。
【0057】
実施形態B
他の態様において、本発明の配合物は、組成物の全重量に基づき以下の範囲で存在する、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
【0058】
【表11】

【0059】
本発明の広範な実施において、実施形態Bの液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含む、からなる、またはから本質的になり得る。一般に、互いに関する、フッ化物含有化合物、任意の水、任意の有機溶媒、任意の酸−塩基緩衝液、および任意のキレート剤/不動態化剤の具体的な割合および量は、必要以上の努力をすることなく、当業者によって容易に決定可能なように、SARC層種および/または加工装置に液体組成物の所望の除去作用を提供するために適切に変化させることができる。
【0060】
本発明の組成物は、以下により完全に説明されるように、多種多様な具体的な配合物で具体化される。
【0061】
実施形態Bの組成物は、範囲約4〜約9のpH値、好ましくは範囲約5〜約7のpH値を有することが好ましい。
【0062】
かかる組成物は任意に、活性成分ならびに不活性成分などの更なる成分、例えば界面活性剤、安定剤、分散剤、酸化防止剤、浸透剤、補助剤、添加剤、充填剤、賦形剤等を含有し得る。さらに、実施形態Bの組成物は、泡、霧、亜臨界流体または超臨界流体として配合されることも本明細書において企図される。例えば、SCF約100部:実施形態B1部〜約6:1の比、好ましくは約20:1の比で実施形態Bの組成物を二酸化炭素などの超臨界流体に添加することができる。
【0063】
フッ化物の存在が、酸性溶液でシリケート材料をエッチングするのに必要であることから、フッ化物イオンの供給源が必要とされる。調製および取り扱いの安全のために、中性フッ化物塩が好ましい。アミンの蒸発が原因でpHが変化するのを防ぐために、アミン−ヒドロフッ化物塩含有不揮発性アミンが最も好ましい。フッ化物含有化合物の適切な供給源としては、限定されないが、フッ化アンモニウム、トリエチルアミン・トリヒドロフッ化物((CN・3HF)、メチルジエタノールアミン−フッ化水素酸塩、トリエタノールアミン−フッ化水素酸塩、およびヒドロキシエチルモルホリン−フッ化水素酸塩が挙げられる。その代わりとして、重フッ化アンモニウム((NH)HF)、重フッ化テトラアルキルアンモニウム((R)NHF、式中、Rは、メチル、エチル、ブチル、フェニル、またはフッ素化C−Cアルキル基である)などの重フッ化物の塩を使用することができる。2種類以上のフッ化物含有化合の組み合わせもまた、本明細書において企図される。好ましい実施形態において、フッ化物含有化合物は、フッ化アンモニウムまたはメチルジエタノールアミン−フッ化水素酸塩である。
【0064】
有機溶媒種は、溶媒としての役割を果たし、かつSARC中に存在し得る有機残留物の溶解を助ける。かかる組成物のための適切な溶媒種としては、限定されないが、実施形態Aに関して上記で挙げられたものなど、グリコールおよびグリコールエーテル;ジメチルスルホキシド(DMSO);ジメチルアセトアミド;アミン、例えばモノエタノールアミン、トリエタノールアミン、トリエチレンジアミン、メチルエタノールアミン、メチルジエタノールアミン、ペンタメチルジエチレントリアミン、ジメチルジグリコールアミン、1,8−ジアザビシクロ[5.4.0]ウンデセン、アミノプロピルモルホリン、ヒドロキシエチルモルホリン、アミノエチルモルホリン、ヒドロキシプロピルモルホリン、ジグリコールアミン、N−メチルピロリジノン(NMP)、N−オクチルピロリジノン、N−フェニルピロリジノン、シクロヘキシルピロリジノン、イミダゾリドン、およびビニルピロリジノンが挙げられる。2種類以上の有機溶媒の組み合わせも本明細書において企図される。好ましくは、有機溶媒としては、ジエチレングリコール、ジメチルジグリコールアミン、ジエチレングリコールメチルエーテルおよびNMPが挙げられる。
【0065】
酸/塩基緩衝液は、pHを安定させ、かつSARC、他の残留物、誘電体および相互連結金属などの下地材料に対する溶液のエッチング速度選択性を制御する役割を果たす。本発明の緩衝系において有用な有機酸としては、限定されないが、ギ酸、トリフルオロ酢酸、プロピオン酸、酪酸、吉草酸、ヘプタン酸、乳酸、シュウ酸、リンゴ酸、マロン酸、コハク酸、フマル酸、アジピン酸、安息香酸、フタル酸およびクエン酸が挙げられる。本発明の緩衝系において有用な共役塩基としては、限定されないが、有機酸の塩、および有機溶媒の一覧に挙げられるアミンの塩が挙げられる。2種類以上の酸/塩基緩衝液の組み合わせも本明細書において企図される。好ましい実施形態において、本発明の緩衝系は、マロン酸およびジメチルジグリコールアミンを含む。
【0066】
キレート剤を添加して、下地層における金属、例えば銅および/またはコバルトの腐食を低減することができる。かかる組成物におけるキレート剤/不動態化剤は、例えば実施形態Aに関して上述される適切な種類であることができる。
【0067】
水が意図的に組成物に添加されなかったとしても、実施例Bの除去組成物中に水が存在し得ることが本明細書において企図される。
【0068】
種々の好ましい実施形態において、実施形態の除去組成物は、以下の配合物BA〜BJで配合され、すべてのパーセンテージは、配合物の全重量に基づく重量基準による:
配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
【0069】
【表12】

【0070】
【表13】

【0071】
【表14】

【0072】
配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%。
【0073】
さらに、実施形態Bの組成物は、水などの溶媒で希釈され、限定されないが、研磨スラリーからの粒子、カーボンリッチ粒子、研磨パッド粒子、ブラシ吸着性粒子、構造粒子の装置材料、銅、酸化銅、およびCMPプロセスの副生成物である他の材料などのCMP後残留物を除去するために、化学機械研磨(CMP)後組成物として使用されることが、本明細書で企図される。
【0074】
本発明の液体除去組成物は、各成分を単に加え、均一な状態まで混合することによって容易に配合される。さらに、液体除去組成物は、単一パッケージの配合物、または使用する時点でまたは使用する前に混合される複数パートの配合物として容易に配合することができ、例えば、複数パートの配合物の個々のパートは、器具にて、または器具上流の貯蔵タンク内で混合される。各成分の濃度は、具体的な多数の液体除去組成物において大幅に異なり、つまり、本発明の広範な実施においてさらに希釈または濃縮されることができ、本発明の液体除去組成物は様々に、かつ代わりに、本明細書における開示内容と一致する成分のいずれかの組み合わせを含む、からなる、またはから本質的になることを理解されよう。
【0075】
したがって、本発明の他の態様は、1つまたは複数の容器内に、本発明の組成物を形成するように適応された1種または複数種の成分を含むキットに関する。例えば、キットは、製造工場または使用する箇所で任意の水と合わせられる、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。代替方法としては、キットは、製造工場または使用する箇所で任意の水および任意の少なくとも1種類の有機溶媒と合わせられる、少なくとも1種類のフッ化物含有化合物、任意に酸−塩基緩衝液、および任意に少なくとも1種類のキレート剤/不動態化剤を1つまたは複数の容器内に含み得る。キットの容器は、前記液体除去組成物を保管および輸送するのに適していなければならず、例えば、NOWPak(登録商標)容器(米国,コネチカット州ダンベリーのアドバンスト・テクノロジー・マテリアルズ社(Advanced Technology Materials,Inc.,Danbury,Conn.,USA))が挙げられる。
【0076】
さらに他の実施形態において、実施形態Bの液体除去組成物は、残留物質を除去するための、少なくとも少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有し、その残留物質は、SARCおよび/またはエッチング後残留物を含む。重要なことには、残留物質は、本発明の液体除去組成物に溶解かつ/または分散することができる。
【0077】
液体除去組成物の使用用法
本発明の除去組成物は、各成分を単に添加し、均一な状態まで混合することによって容易に配合される。
【0078】
除去用途において、除去組成物は、いずれかの適切な方法で、例えば、マイクロ電子デバイスの表面上に除去組成物を吹付けることによって、多量のクリーニング組成物中でマイクロ電子デバイスを浸し塗りすることによって、クリーニングされるマイクロ電子デバイスを他の材料と、例えば、除去組成物をたっぷり含んだパッドまたは繊維状吸収性アプリケーター要素と接触させることによって、マイクロ電子デバイスを循環除去組成物と接触させることによって、または、クリーニングされるマイクロ電子デバイスと除去組成物を除去接触させる、他の適切な手段、手法または技術によって、クリーニングされるマイクロ電子デバイスに塗布される。
【0079】
半導体製造作業に適用される場合、本発明のクリーニング組成物は、SARCおよび/またはエッチング後残留物質を、その上にかかる材料を有するマイクロ電子デバイスから除去するために有用に用いられる。
【0080】
本発明の組成物は、ILD構造、金属被覆、バリア層など、マイクロ電子デバイス上に存在し、かつ除去組成物にさらされる他の材料と比較して、かかるSARC材料に対するその選択性によって、非常に効率的な方法でSARCおよび/またはエッチング後残留物質の少なくとも部分的な除去を実現する。
【0081】
重要なことには、本発明の組成物は、少量の水、例えば約1重量%未満の水を含み、特に実施例Aの組成物はそのままで、銅、アルミニウムおよびコバルトなどの金属相互連結層と相溶性である。本発明の組成物の存在下での銅および/またはコバルトのエッチング速度は、好ましくは5Å/分未満、さらに好ましくは2Å/分未満、最も好ましくは1Å/分未満である。
【0082】
SARC材料を、その上にその材料を有するマイクロ電子デバイス基板から除去するための本発明の組成物の使用において、一般に、クリーニング組成物を範囲約20〜約80℃の温度で約1〜約60分、好ましくは約20〜約30分の時間、デバイス基板と接触させる。かかる接触時間および温度は実例であり、本発明の広範な実施内でデバイス基板からSARC材料を少なくとも部分的に除去するのに有効な、他の適切な時間および温度条件を用いることができる。本明細書で定義される、「少なくとも部分的な除去」とは、SARC材料の少なくとも50%の除去、好ましくはSARC材料の少なくとも80%の除去に相当する。最も好ましくは、SARC材料の少なくとも90%が、本発明の組成物を使用して除去される。
【0083】
所望のクリーニング作用を実現した後、例えば、本発明の組成物の所定の最終用途において望ましく、かつ有効であるような、すすぎ、洗浄、または他の除去段階によって、クリーニング組成物は、それが事前に塗布されているデバイスから容易に除去される。例えば、デバイスは脱イオン水ですすがれる。
【0084】
本発明の更なる実施形態は、マイクロ電子デバイスを含む物品を製造する方法に関し、前記方法は、SARCおよび/またはエッチング後残留物質を、その上に前記材料を有するマイクロ電子デバイスから除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることと、前記物品中に前記マイクロ電子デバイスを組み込むこととを含み、液体除去組成物は、少なくとも1種類のフッ化物含有化合物、少なくとも1種類の有機溶媒、任意に水、および任意に少なくとも1種類のキレート剤/不動態化剤を含有する。
【0085】
本発明の他の実施形態は、マイクロ電子デバイスを含む物品を製造する方法に関し、前記方法は、SARCおよび/またはエッチング後残留物質を、その上に前記材料を有するマイクロ電子デバイスから除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることと、前記物品中に前記マイクロ電子デバイスを組み込むこととを含み、液体除去組成物は、少なくとも1種類のフッ化物含有化合物、任意に少なくとも1種類の有機溶媒、任意に酸−塩基緩衝液、任意に少なくとも1種類のキレート剤/不動態化剤、および任意に水を含有する。
【0086】
本発明の特徴および利点は、以下に記述される例証となる実施例によってより完全に示される。
【実施例】
【0087】
実施例1
SARC層を含むパターン形成低k誘電体基板の試料上でSARC除去を行った。試料を40℃で30分間(表1および2)または20℃で15分間(表3)、実施例Aの除去溶液に浸漬し、次いで、大量の脱イオン水ですすいだ。走査型電子顕微鏡法を用いて、パターンウエハーからのSARC材料の除去%を概算した。その結果を以下の表1〜3に示す。
【0088】
パターンウエハーからのSARC層の除去を概算することに加えて、除去溶液における銅および/またはコバルト金属のエッチング速度を決定した。銅および/またはコバルトのブランケット金属ウエハーを除去溶液に浸漬し、抵抗率に基づく四探針測定を用いて、各金属のエッチング速度を決定した。その結果を以下の表1に示す。
【0089】
【表15】

【0090】
【表16】

【0091】
【表17】

【0092】
実施例2
実施形態Bの除去溶液における銅および/またはコバルト金属のエッチング速度を実験的に決定した。銅および/またはコバルトのブランケット金属ウエハーを除去溶液に浸漬し、ガルヴァーニ電池を用いて各金属のエッチング速度を決定した。その結果を以下の表4に示す。
【0093】
【表18】

【0094】
実施例3
配合物ALおよびAMにブランケット(blanketed)誘電体、銅およびコバルトウエハーを40℃で30分間、静的浸漬した後に、誘電材料、銅およびコバルトのエッチング速度を決定した。電気化学的に導き出されたターフェルプロットを用いて、エッチング速度を決定した。
【0095】
ALにおいて、誘電材料、コバルト、および銅のエッチング速度はそれぞれ、1.7Å/分−1、0.043Å/分−1、および0.07Å/分−1であると決定された。配合物AMにおいては、誘電材料、コバルト、および銅のエッチング速度はそれぞれ、0.6Å/分−1、0.028Å/分−1、および0.055Å/分−1であると決定された。
【0096】
したがって、本発明は、本発明の具体的な態様、特徴および実例となる実施形態を参照して本明細書で説明されているが、本発明の有用性は限定されるものではなく、むしろ、多くの他の態様、特徴および実施形態に及び、かつ多くの他の態様、特徴および実施形態を包含することを理解されたい。したがって、以下に記載の特許請求の範囲はそれに応じて、その精神および範囲内で、かかるすべての態様、特徴および実施形態を含むと広く解釈されることが意図される。

【特許請求の範囲】
【請求項1】
少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含む液体除去組成物であって、犠牲反射防止コーティング(SARC)材料およびエッチング後残留物を、その上にかかる材料および残留物を有するマイクロ電子デバイスから除去するのに有用である、液体除去組成物。
【請求項2】
有機溶媒とフッ化物含有化合物とのモル比が、約1:1〜約120:1の範囲である、請求項1に記載の液体除去組成物。
【請求項3】
有機溶媒とフッ化物含有化合物とのモル比が、約30:1〜約65:1の範囲である、請求項1に記載の液体除去組成物。
【請求項4】
前記の少なくとも1種類のフッ化物含有化合物がフッ化水素を含む、請求項1に記載の液体除去組成物。
【請求項5】
前記の少なくとも1種類の有機溶媒が、テトラメチレンスルホン、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、エチレングリコール、プロピレングリコール、1,4−ブタンジオール、ネオペンチルグリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシド、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
【請求項6】
少なくとも1種類の有機溶媒が、メタノール、エタノール、エチレングリコール、プロピレングリコール、2−プロパノール、1−プロパノール、1−ブタノール、1,4−ブタンジオール、1−ペンタノール、ジエチレングリコールメチルエーテル、テトラメチレンスルホン、トリプロピレングリコールメチルエーテル、ジプロピレングリコールn−ブチルエーテル、ジプロピレングリコールメチルエーテル、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
【請求項7】
前記の少なくとも1種類の有機溶媒が、1−ブタノール、1,4−ブタンジオールおよびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
【請求項8】
前記の少なくとも1種類の有機溶媒が、エチレングリコール、テトラメチレンスルホン、トリプロピレングリコールメチルエーテル、ジプロピレングリコールn−ブチルエーテル、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項1に記載の液体除去組成物。
【請求項9】
水を含有し、有機溶媒と水とのモル比が、約1:1〜約150:1である、請求項1に記載の液体除去組成物。
【請求項10】
水を含有し、有機溶媒と水とのモル比が、約30:1〜約60:1である、請求項1に記載の液体除去組成物。
【請求項11】
ベンゾトリアゾール、トリルトリアゾール、5−フェニル−ベンゾトリアゾール、5−ニトロ−ベンゾトリアゾール、3−アミノ−5−メルカプト−1,2,4−トリアゾール、1−アミノ−1,2,4−トリアゾール、ヒドロキシベンゾトリアゾール、2−(5−アミノ−ペンチル)−ベンゾトリアゾール、1−アミノ−1,2,3−トリアゾール、1−アミノ−5−メチル−1,2,3−トリアゾール、3−アミノ−1,2,4−トリアゾール、3−メルカプト−1,2,4−トリアゾール、3−イソプロピル−1,2,4−トリアゾール、5−フェニルチオール−ベンゾトリアゾール、ハロ−ベンゾトリアゾール、ナフトトリアゾール、2−メルカプトベンゾイミジゾール、2−メルカプトベンゾチアゾール、4−メチル−2−フェニルイミダゾール、2−メルカプトチアゾリン、5−アミノテトラゾール、5−アミノ−1,3,4−チアジアゾール−2−チオール、2,4−ジアミノ−6−メチル−1,3,5−トリアジン、チアゾール、トリアジン、メチルテトラゾール、1,3−ジメチル−2−イミダゾリジノン、1,5−ペンタメチレンテトラゾール、1−フェニル−5−メルカプトテトラゾール、ジアミノメチルトリアジン、メルカプトベンゾチアゾール、イミダゾリンチオン、メルカプトベンズイミダゾール、4−メチル−4H−1、2,4−トリアゾール−3−チオール、5−アミノ−1,3,4−チアジアゾール−2−チオール、ベンゾチアゾール、トリトリルホスフェート、インジアゾール、グアニン、アデニン、グリセロール、チオグリセロール、ニトリロ三酢酸、サリチルアミド、イミノ二酢酸、ベンゾグアナミン、メラミン、チオシラヌル酸、アントラニル酸、没食子酸、アスコルビン酸、サリチル酸、8−ヒドロキシキノリン、5−カルボン酸−ベンゾトリアゾール、3−メルカプトプロパノール、ホウ酸、イミノ二酢酸、およびそれらの組み合わせからなる群から選択される少なくとも1種類のキレート剤を含有する、請求項1に記載の液体除去組成物。
【請求項12】
水が、前記組成物の全重量に基づき約0.01重量%〜約1重量%の量で存在する、請求項9に記載の液体除去組成物。
【請求項13】
前記組成物のpHが、約1〜約5の範囲である、請求項1に記載の液体除去組成物。
【請求項14】
99%を超えるSARC材料を除去し、かつ40℃において約0.1Å/分−1未満の銅エッチング速度を有する、請求項1に記載の液体除去組成物。
【請求項15】
99%を超えるSARC材料を除去し、かつ40℃において約0.1Å/分−1未満のコバルトエッチング速度を有する、請求項1に記載の液体除去組成物。
【請求項16】
99%を超えるSARC材料を除去し、かつ40℃において約2Å/分−1未満の誘電材料エッチング速度を有する、請求項1に記載の液体除去組成物。
【請求項17】
SARC残留物、エッチング後残留物、およびそれらの組み合わせからなる群から選択される残留物質をさらに含む、請求項1に記載の液体除去組成物。
【請求項18】
少なくとも1種類の酸−塩基緩衝液をさらに含む、請求項1に記載の液体除去組成物。
【請求項19】
前記組成物のpHが、約4〜約9の範囲である、請求項18に記載の液体除去組成物。
【請求項20】
配合物AA〜AIおよびBA〜BJ
配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
配合物AZ:エチレングリコール60.0%:1−ペンタノール38.5%:フッ化水素0.735%;水0.765%
配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
【表1】


配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%
配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
【表2】


配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%
からなる群から選択され、すべてのパーセンテージが、配合物の全重量に基づく重量基準である、請求項1に記載の液体除去組成物。
【請求項21】
前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)からなる群から選択される物品を含む、請求項1に記載の液体除去組成物。
【請求項22】
液体除去組成物試薬を1つまたは複数の容器内に含むキットであって、前記液体除去組成物が、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含み、かつ前記キットが、SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去するのに適している液体除去組成物を形成するように適応されている、キット。
【請求項23】
SARC材料および/またはエッチング後残留物を、その上に前記材料および残留物を有するマイクロ電子デバイスから除去する方法であって、マイクロ電子デバイスから前記材料および残留物を少なくとも部分的に除去するのに十分な時間、マイクロ電子デバイスを液体除去組成物と接触させることを含み、前記液体除去組成物が、少なくとも1種類のフッ化物含有化合物と、少なくとも1種類の有機溶媒と、任意に水と、任意に少なくとも1種類のキレート剤とを含む、方法。
【請求項24】
前記液体除去組成物が水を含み、有機溶媒と水とのモル比が、約1:1〜約150:1の範囲である、請求項23に記載の方法。
【請求項25】
前記液体除去組成物が水を含み、有機溶媒と水とのモル比が、約30:1〜約60:1の範囲である、請求項23に記載の方法。
【請求項26】
前記マイクロ電子デバイスが、半導体基板、フラットパネルディスプレイ、および微小電気機械システム(MEMS)からなる群から選択される物品である、請求項23に記載の方法。
【請求項27】
前記接触が、約1分〜約60分間行われる、請求項23に記載の方法。
【請求項28】
前記接触が、約20℃〜約80℃の範囲の温度で行われる、請求項23に記載の方法。
【請求項29】
前記の少なくとも1種類のフッ化物含有化合物が、フッ化水素を含み;かつ
前記の少なくとも1種類の有機溶媒が、テトラメチレンスルホン、メタノール、エタノール、1−プロパノール、2−プロパノール、1−ブタノール、2−ブタノール、t−ブタノール、1−ペンタノール、エチレングリコール、プロピレングリコール、1,4−ブタンジオール、ネオペンチルグリコール、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル、トリエチレングリコールモノブチルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル、トリプロピレングリコールメチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、ジメチルアセトアミド、ホルムアミド、ジメチルホルムアミド、1−メチル−2−ピロリジノン、ジメチルスルホキシド、およびそれらの組み合わせからなる群から選択される化合物を含む、請求項23に記載の方法。
【請求項30】
有機溶媒とフッ化物含有化合物とのモル比が、約1:1〜約120:1の範囲である、請求項23に記載の方法。
【請求項31】
有機溶媒とフッ化物含有化合物とのモル比が、約30:1〜約60:1の範囲である、請求項23に記載の方法。
【請求項32】
前記接触が、マイクロ電子デバイスの表面に液体除去組成物を吹付けること;十分な量の液体除去組成物にマイクロ電子デバイスを浸し塗りすること;マイクロ電子デバイスの表面を、液体除去組成物で飽和された他の材料と接触させること;マイクロ電子デバイスを循環する液体除去組成物と接触させること;からなる群から選択されるプロセスを含む、請求項23に記載の方法。
【請求項33】
前記液体除去組成物と接触させた後に、マイクロ電子デバイスを脱イオン水ですすぐことをさらに含む、請求項23に記載の方法。
【請求項34】
前記液体除去組成物が、少なくとも1種類の酸−塩基緩衝液をさらに含む、請求項23に記載の方法。
【請求項35】
前記液体除去組成物が、配合物AA〜AIおよびBA〜BJ
配合物AA:エタノール99.5%;フッ化水素0.245%;水0.255%
配合物AB:エタノール98.5%;フッ化水素0.735%;水0.765%
配合物AC:エタノール74.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AD:エタノール73.5%;ジプロピレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AE:エタノール74.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.245%;水0.255%
配合物AF:エタノール73.5%;ジエチレングリコールメチルエーテル25.0%;フッ化水素0.735%;水0.765%
配合物AG:エタノール98.0%;フッ化水素0.98%;水1.02%
配合物AH:メタノール98.5%;フッ化水素0.735%;水0.765%
配合物AI:エチレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AJ:プロピレングリコール98.5%;フッ化水素0.735%;水0.765%
配合物AK:ジエチレングリコールブチルエーテル98.5%;フッ化水素0.735%;水0.765%
配合物AL:2−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AM:1,4−ブタンジオール98.5%;フッ化水素0.735%;水0.765%
配合物AN:1−プロパノール98.5%;フッ化水素0.735%;水0.765%
配合物AO:1−ペンタノール98.5%;フッ化水素0.735%;水0.765%
配合物AP:エチレングリコール25.0%;1−ブタノール73.5%;フッ化水素0.735%;水0.765%
配合物AQ:1−ブタノール98.5%;フッ化水素0.735%;水0.765%
配合物AR:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AS:エチレングリコール75.0%;1−ブタノール23.5%;フッ化水素0.735%;水0.765%
配合物AT:エチレングリコール25.0%;エタノール73.5%;フッ化水素0.735%;水0.765%
配合物AU:エチレングリコール50.0%;エタノール48.5%;フッ化水素0.735%;水0.765%
配合物AV:エチレングリコール75.0%;エタノール23.5%;フッ化水素0.735%;水0.765%
配合物AW:エチレングリコール70.0%;1−ブタノール28.5%;フッ化水素0.735%;水0.765%
配合物AX:エチレングリコール50.0%;1−ブタノール48.5%;フッ化水素0.735%;水0.765%
配合物AY:エチレングリコール75.0%;1,4−ブタンジオール23.5%;フッ化水素0.735%;水0.765%
配合物AZ:エチレングリコール60.0%;1−ペンタノール38.5%;フッ化水素0.735%;水0.765%
配合物AA:エチレングリコール50.0%;1−ペンタノール48.5%;フッ化水素0.735%;水0.765%
配合物AB:エチレングリコール80.0%;1−ペンタノール18.5%;フッ化水素0.735%;水0.765%
配合物AC:エチレングリコール90.0%;1−ペンタノール8.5%;フッ化水素0.735%;水0.765%
配合物AD:1,4−ブタンジオール99.25%;フッ化水素0.3675%;水0.3825%
配合物AE:エチレングリコール90.0%;1−ペンタノール9.25%;フッ化水素0.3675%;水0.3825%
配合物AF:1,4−ブタンジオール99.51%;フッ化水素0.49%
配合物AG:1,4−ブタンジオール99.265%;フッ化水素0.735%
配合物AH:1,4−ブタンジオール89.25%;1−ブタノール10.0%;フッ化水素0.3675%;水0.3825%
配合物AI:1,4−ブタンジオール80.0%;1−ブタノール19.25%;フッ化水素0.3675%;水0.3825%
配合物AJ:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AK:1,4−ブタンジオール60.0%;1−ブタノール39.25%;フッ化水素0.3675%;水0.3825%
配合物AL:1,4−ブタンジオール50.0%;1−ブタノール49.25%;フッ化水素0.3675%;水0.3825%
配合物AM:1,4−ブタンジオール98.875%;フッ化水素0.55125%;水0.57375%
【表3】


配合物AY:エタノール79.25%;1−メチル−2−ピロリジノン20%;フッ化水素0.3675%;水0.3825%
配合物AZ:エチレングリコール79.25%;ジメチルアセトアミド20%;フッ化水素0.3675%;水0.3825%
配合物AA:テトラメチレンスルホン99.25%;フッ化水素0.3675%;水0.3825%
配合物AB:エチレングリコール50%;テトラメチレンスルホン49.25%;フッ化水素0.3675%;水0.3825%
配合物AC:エチレングリコール50%;テトラメチレンスルホン49.625%;フッ化水素0.375%
配合物AD:エチレングリコール45%;テトラメチレンスルホン39.625%;トリプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AE:エチレングリコール45%;テトラメチレンスルホン34.625%;トリプロピレングリコールメチルエーテル20%;フッ化水素0.375%
配合物AF:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールn−ブチルエーテル15%;フッ化水素0.375%
配合物AG:エチレングリコール45%;テトラメチレンスルホン39.625%;ジプロピレングリコールメチルエーテル15%;フッ化水素0.375%
配合物AH:1,4−ブタンジオール70.0%;1−ブタノール29.25%;フッ化水素0.3675%;水0.3825%
配合物AI:エチレングリコール44.882%;ジ(プロピレングリコール)ブチルエーテル15%;スルホラン39.64%;HF0.375%;ポリクオタニウム2 0.1%;水酸化テトラメチルアンモニウムシリケート0.003%
配合物BA:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BB:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;4−メチル−2−フェニルイミダゾール0.2%
配合物BC:フッ化アンモニウム0.5%;マロン酸2.58%;ジエチレングリコール68.08%;ジメチルジグリコールアミン3%;水25.64%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
【表4】


【表5】


【表6】


配合物BR:フッ化アンモニウム2.0%;マロン酸2.58%;ジエチレングリコール89.52%;ジメチルジグリコールアミン3%;クエン酸2.9%
配合物BS:フッ化アンモニウム1.0%;マロン酸5.16%;ジエチレングリコール87.84%;ジメチルジグリコールアミン3%;マレイン酸3%
配合物BT:メチルジエタノールアミン−フッ化水素酸塩75%;水25%
配合物BU:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;ジエチレングリコールメチルエーテル10%
配合物BV:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ジエチレングリコールメチルエーテル20%
配合物BW:メチルジエタノールアミン−フッ化水素酸塩67.5%;水22.5%;イミダゾリドン10%
配合物BX:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;NMP20%
配合物BY:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;3−アミノ−5−メルカプト−1,2,4−トリアゾール0.2%
配合物BZ:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BA:メチルジエタノールアミン−フッ化水素酸塩74.85%;水24.95%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BB:メチルジエタノールアミン−フッ化水素酸塩60%;水20%;ペンタメチルジエチレントリアミン20%
配合物BC:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;5−アミノ−1,3,4−チアジアゾール−2−チオール0.2%
配合物BD:メチルジエタノールアミン−フッ化水素酸塩59.88%;水19.96%;NMP19.96%;4−メチル−4H−1,2,4−トリアゾール−3−チオール0.2%
配合物BE:メチルジエタノールアミン−フッ化水素酸塩37.5%;トリエタノールアミン−フッ化水素酸塩18.75%;ヒドロキシエチルモルホリン−フッ化水素酸塩22.1%;水21.65%
配合物BF:メチルジエタノールアミン−フッ化水素酸塩60%;トリエタノールアミン−フッ化水素酸塩7.5%;ヒドロキシエチルモルホリン−フッ化水素酸塩8.84%;水23.66%
配合物BG:メチルジエタノールアミン−フッ化水素酸塩45%;メチルジエタノールアミン−リン酸塩29.88%;水25.12%
配合物BH:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;ジメチルアセトアミド10%;水22.6%
配合物BI:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;NMP10%;水22.6%
配合物BJ:メチルジエタノールアミン−フッ化水素酸塩40.55%;メチルジエタノールアミン−リン酸塩26.89%;DMSO10%;水22.6%
からなる群から選択され、すべてのパーセンテージが、配合物の全重量に基づく重量基準である、請求項23に記載の方法。

【公表番号】特表2008−546036(P2008−546036A)
【公表日】平成20年12月18日(2008.12.18)
【国際特許分類】
【出願番号】特願2008−515853(P2008−515853)
【出願日】平成18年6月7日(2006.6.7)
【国際出願番号】PCT/US2006/022049
【国際公開番号】WO2006/133253
【国際公開日】平成18年12月14日(2006.12.14)
【出願人】(599006351)アドバンスド テクノロジー マテリアルズ,インコーポレイテッド (141)
【Fターム(参考)】