説明

差動限界寸法およびオーバーレイ測定装置および測定方法

【課題】 イン・ラインの測定および制御ツール、テスト・パターンおよび評価方法を含む統合された測定システムを提供する。
【解決手段】 基板上で寸法を測定するための方法を記載する。ターゲット・パターンは、主周期ピッチPで反復する公称特徴寸法を備え、主方向に直交する所定の変動を有する。基板上に形成されたターゲット・パターンは、少なくとも1つの非ゼロ次回折が検出されるように照射する。公称寸法に対する転写された特徴寸法の変動に対する非ゼロ次回折の応答を用いて、基板上で限界寸法またはオーバーレイ等の対象の寸法を求める。本発明の方法を実行するための装置は、照射源と、非ゼロ次回折を検出するための検出器と、ターゲットからの1つ以上の非ゼロ次回折を検出器において検出するようにターゲットに対して照射源を位置付けるための手段と、を含む。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、一般に半導体製造に関し、更に具体的には、マイクロエレクトロニクス製造に用いるリソグラフィおよびエッチング・プロセス条件の監視および制御に関する。
【背景技術】
【0002】
マイクロエレクトロニクス製造の間、半導体ウエハを一連のツールによって処理し、リソグラフィ処理を実行した後にエッチング処理を行って、ウエハの基板に構造体(feature)およびデバイスを形成する。かかる処理は、半導体、フラット・パネル・ディスプレイ、マイクロマシン、およびディスク・ヘッドの製造を含む広範囲の産業用の用途を有する。
【0003】
リソグラフィ・プロセスでは、マスクまたはレチクル・パターンを、空間変調した光(空間像)によって、基板上のフォトレジスト(以後、交換可能にレジストとも呼ぶ)膜に転写する。吸収された空間像のうち、エネルギ(いわゆる光化学エネルギ(actinic energy))がフォトレジスト材料の光活性成分(PAC:photoactive component)における化学結合の閾値エネルギを超える部分が、レジストに潜像を形成する。一部のレジスト系では、潜像はPACによって直接形成される。他のもの(いわゆる酸触媒フォトレジスト)では、光化学相互作用が最初に酸を発生させ、これが露光後ベーキングの間に他のフォトレジスト成分と反応して潜像を形成する。いずれの場合でも、潜像が示すレジスト材料の部分は、現像プロセスの間に除去される(ポジティブ・フォトレジストの場合)か、または現像の後まで残って(ネガティブ・フォトレジストの場合)、レジスト膜に三次元パターンを生成する。以降のエッチング処理においては、結果として得られたレジスト膜パターンを用いて、レジストにパターニングされた開口を転写して、その下にある基板にエッチング・パターンを形成する。フォトリソグラフィ・プロセスおよびエッチング・プロセスの双方によって形成されるパターンの忠実度を監視し、それらのプロセスを制御または調節して欠陥を補正可能とすることは、非常に重要である。従って、製造プロセスは、様々な測定ツールを用いてウエハ上に形成されたパターン構造体を測定し監視することを含む。これらの測定ツールによって収集した情報を用いて、リソグラフィ処理条件およびエッチング処理条件の双方を調節して、確実に製造仕様を満足させることができる。
【0004】
図1を参照すると、半導体を製造するための典型的なリソグラフィおよびエッチング製造ライン10が概略的に示されている。製造ライン10において、方向100に沿って、1つ以上の半導体ウエハ5を処理する。フォトクラスタ(photocluster)110は、フォトリソグラフィ・ツールを含み、それらには、ウエハ上にレジストを堆積してベーキングするためのトラック・ツール111、ウエハ平面上でパターンを撮像するツール(例えば露光ツール112)、および、レジスト膜上の露光パターンをベーキングし現像するための露光後トラック・ツール113が含まれる。フォトリソグラフィの後、様々なツールを用いて、レジスト上に形成されたパターン構造体を測定する。例えば、オーバーレイ測定ツール(OLM:overlay measurement tool)120を用いて、レジスト層上に形成されたパターンがウエハ上に以前形成されたパターンに対して充分に整合していることを保証する。走査電子顕微鏡(SEM:scanning electron microscope)130は、通常、パターン構造体の限界寸法(CD:critical dimension)の幅を測定するために用いられる。データ・フロー経路135によって示すように、測定ツール120、130による測定値を、フォトクラスタ110およびエッチングクラスタ140(典型的にエッチング・チャンバ141を含む)に伝達して、それらの測定値に従ってプロセス条件を調節することができる。
【0005】
これらの測定値を配置ステップ125において評価し、ウエハ5に再処理プロセス101を実行しなければならないか否かについて決定しなければならない。再処理ステップ101では、レジストをウエハ5から剥がしてフォトクラスタ110に送り返して、変更したリソグラフィ条件のもとでレジスト・パターンを再び塗布する。レジスト・パターンが製造仕様を満たしている場合、続いてウエハ5にエッチングクラスタ140による処理を行うことができる。これらの決定は、通常、各ウエハごとに限られた数の測定値に基づいて行う。例えば、ウエハ当たり、約20のサイト(site)での約2〜3のオーバーレイ測定値および5〜10のサイトでの1つのみのCD測定値である。この限られた数の測定値は、ウエハ当たり約30秒または1時間当たり約100ウエハという適度なスループット処理レートを維持するために必要である。
【0006】
ウエハ5がオーバーレイおよびCD測定要求を満たす場合、ウエハ5はエッチングクラスタ140での処理へと進み、ウエハ基板にレジスト・パターンを転写する。ここでも、基板上に得られたパターンは、イン・ラインSEM130または原子間力顕微鏡(AFM:atomic force microscope)150等の測定ツールによって測定を行う。測定ツール130、150によるエッチ後測定データは、データ・フロー経路135に沿ってライン上の他のツールにフィードバックして、プロセス条件を調整可能とすることができる。
【0007】
定期的に、OLM120、SEM130、およびAFM150等のイン・ラインで用いるものと同様のツールを用いて、より大規模なオフ・ライン測定15を行うことができ、また、これは、膜厚測定ツール(FTM:film thickness measurement tool)160および電気プローブ測定ツール(EPM:electrical probe measurement tool)170等の他のツールも含む場合がある。
【0008】
より多くの位置で、かつ全てのウエハに対して、もっと多くの測定値を得ることが望ましいであろう。従って、図2を参照すると、より望ましい仮想ウエハ処理システム20は、フォトクラスタ110内に、FTM160およびOLM120等のツールを含むことができる。また、光波散乱計測装置(SCM:scatterometry metrology)180および顕微鏡(MCR:microscopy)185等の他の測定ツールおよび方法も有用であり得る。それらのツールがあれば、現在通常は得られない情報が与えられる。かかる仮想処理システム20は、従来のシステムに比べて測定能力が向上するであろうが、この能力向上は、複雑さおよびコストの増大を犠牲にして得られる。
【0009】
近年、いわゆる「光波散乱計測(scatterometry)」技法が開発され、SEMやAFM等の洗練されたハードウェアを必要とすることなく、周期的な構造を光学的に測定することが可能になっている。光波散乱計測の原理は、格子状パターンの反射またはゼロ次回折エネルギから、小さいパターンに関する詳細な情報を抽出可能とするということである。従来のSCMは、ウエハ上のパターンからの反射エネルギを用い、反射エネルギの信号を比較してパターンの特徴を求める。SCMは、相対的に高速で簡略であるという利点を有するが、反射した信号を照合させることができる信号の大規模なライブラリを形成しなければならない。それらのライブラリは、開発にコストおよび時間がかかり、更に、コンピュータ・サーバ190および関連するデータベースが必要な比較を実行しなければならない。光波散乱計測は、オフ・ライン測定システム25に追加して、情報の質および量を向上させ、以降のリソグラフィおよびエッチング・プロセスの制御を改善することができる。例えば、Littau等(米国特許第6,429,930号)は、光波散乱計測を用いて焦点中心を判定するため、回折シグニチャ(diffraction signature)を測定し、それを、異なる入射角、波長、あるいは位相またはそれら全てで回折シグニチャのライブラリと比較して、焦点中心を判定することを記載している。しかしながら、光波散乱計測は、コンピュータ集約型であり、信号ライブラリを含むサーバ・ファーム(server farm)およびデータベースを必要とし、このため複雑さおよびコストが増す。光波散乱計測は、積層膜(film stack)およびターゲット・パターンの双方に関して多数の自由パラメータを同時に決定することを必要とする。その成功は、積層膜およびパターンの特徴に関する詳細な事前の知識に左右されるが、これらは多くの場合あいまいである。従来の光波散乱計測は、差動(differential)測定でないので、これをCD測定に応用するとノイズを受けやすい。例えば、照明、波長、検出器の応答、ターゲットのアラインメント等の測定変動、膜厚および光学特性等のプロセス変動である。また、従来の光波散乱計測は、ゼロ次回折の検出に限られており、これを用いて膜厚を特徴付けることができるが、通常、積層膜による信号シグニチャからターゲットCDによるものを区別する際の信号対雑音比が悪い。光波散乱計測と共に用いられるターゲットは、ターゲット内に照射が含まれるように充分に大きくなければならず(すなわち照射が完全にターゲット・エリア内に当てられる)、これは、典型的なCDまたはオーバーレイ・ターゲットよりも占有するチップ領域が広い。更に、ターゲット構造体がいっそう分離する(ターゲット周期に対するターゲットCDの比が低下する)につれて、光波散乱計測の機能は低下する。脱焦(defocus)に対するCDの感度は分離度と共に上がるので、重要なリソグラフィ処理パラメータである脱焦を測定可能とするためには、分離した構造体を測定しなければならない。
【0010】
最高品質の画像を得るために、フォトリソグラフィ・プロセス条件(例えば露光量(dose)および脱焦)を制御することが望ましい。フォトレジスト像の主な決定要素は、露光エネルギがレジスト膜におけるフォトレジスト閾値エネルギに等しい表面である。「露光」および「焦点」は、この表面の形状を制御する変数である。露光は、照射時間および強度によって設定され、単位領域当たりの空間像の平均エネルギを決定する。露光の局所的な変動は、基板の反射率およびトポグラフィ(形状)の変動によって生じ得る。焦点は、撮像システムの焦点面に対するフォトレジスト膜の位置によって設定され、合焦(in-focus)像に対する変化の低減を決定する。焦点の局所的な変動は、基板の膜厚およびトポグラフィの変動によって生じる場合がある。
【0011】
顕微鏡(MCR)185の使用を、特別に設計した測定ターゲットと組み合わせて用いて、以下に更に述べるように露光量および焦点を監視することができる。半導体製造におけるウエハのリソグラフィ・パターニングは、様々なパターン構造体が共通のプロセス・ウインドウ内に存在することを保証するようにリソグラフィ・プロセスを制御することに左右される。このプロセス・ウインドウは、全てのパターン許容差を満足させるパラメータ空間である。このため、リソグラフィ処理の2つの基本的パラメータ、具体的には露光量および焦点(または脱焦)には、正確な測定および制御が必要である。露光量は像の平均エネルギを示し、脱焦は像の劣化を起こす最低次収差である。リソグラフィ制御は、露光量および脱焦に対する測定可能パターン属性の所定の応答に基づかなければならない。製造プロセスの間にイン・ラインで露光量および焦点を制御することは望ましいであろう。
【0012】
露光量および脱焦に対するパターンの応答を特徴付ける1つの方法は、焦点露光マトリクス(FEM:focus exposure matrix)を用いることである。これは、テスト・パターンの格子(grid)または行列を形成し、ある範囲の焦点および露光量の設定により格子要素を処理し、各格子要素内のパターン属性を測定してリソグラフィ・プロセスを特徴付けるものである。
【0013】
通常、パターン属性の測定は、走査電子顕微鏡(SEM)または光学ツールのいずれかを用いて実行して、パターニングしたウエハの画像を形成する(例えばFEMウエハ)。しかしながら、SEM測定は、実行のためのコストが高く、動作が比較的遅く、自動化が難しい。
【0014】
露光量および焦点を得るために顕微鏡を用いる方法は、Ausschnitt等によって記載されている(例えば、C. P. Ausschnitt、「Distinguishingdose from dofocus for in-line lithography control」、SPIE、Vol.3677、pp.140-147(1999年)、Ausschnitt等、米国特許第5,965,309号、Ausschnitt等、米国特許第5,976,740号)。Ausschnitt等は、露光量および焦点を特徴付けるために、デュアル・トーン測定ターゲット(dual-tone metrology targets)(「schnitzls」と呼ぶ)を開示している。リソグラフィ・パターンの「トーン(tone)」は、通常はエッチングされるウエハ基板の表面上の層または膜内に堆積されているレジスト材料の有無によって判定される。パターンは、クリアな背景を有するレジスト形状、または、レジスト材料を背景としたレジスト形状の不在(すなわち空間)のいずれかである。リソグラフィ・プロセスの間に露光される領域を交換することによって、相補的なトーン・パターンを形成可能である。これらのトーン・パターンをレジスト材料に生成するには、レジスト材料上に生成される形状または空間に対応する不透明および透明な領域を有するマスクを用意し、次いでマスクの一方側で放射源を用いてマスク形状および空間をマスクの対向側のレジスト層上に照射および投射すれば良い。Ausschnitt等によって開示されたデュアル・トーン測定ターゲットは、露光量および焦点に対して差動応答を有し(例えば差動バイアスおよび線短縮効果を利用することによって)、それらは顕微鏡システムを用いて測定可能である。更に別の利点は、同一の顕微鏡システムを用いて、オーバーレイならびに露光量および焦点を測定可能なことである。しかしながら、リソグラフィの焦点逸脱に対するほぼ対称的なschnitzlometryの感度によって、焦点逸脱の符号に関してあいまいさを生じる。更に、この「schnitzlometry」方法は、高品質の顕微鏡および合焦機能を必要とし、必要な測定値を得るためにschnitzelometryおよびオーバーレイ・ターゲットの精密な画像を捕捉しなければならない。精密な合焦画像の捕捉(capture)によって、測定に必要な時間が増大すると共に、測定は、フォトクラスタおよびエッチクラスタ内に存在し得るプロセスおよび環境変動の影響を受けやすくなる。
【0015】
また、従来のオーバーレイ測定は、顕微鏡によって左右され、同様のレンズ品質、合焦、およびプロセス変動の問題を生じやすい。特に、顕微鏡を用いると、ツールによって生じるシフト(TIS:tool-induced shift)、ツール較正および光学アラインメントの変動によるエラー、ウエハによって生じるシフト(WIS:wafer-induced shift)、下にある層およびオーバーレイ・ターゲット自体の双方でのプロセス不均一によるエラー等のエラー・ソースが発生する。
【特許文献1】米国特許第6,429,930号
【特許文献2】米国特許第5,965,309号
【特許文献3】米国特許第5,976,740号
【非特許文献1】C. P. Ausschnitt、「Distinguishingdose from dofocus for in-line lithography control」、SPIE、Vol.3677、pp.140-147(1999年)
【発明の開示】
【発明が解決しようとする課題】
【0016】
従って、リソグラフィおよびエッチング・プロセスのパターニングを測定し制御する安価かつ高速なイン・ラインの方法およびシステムがいまだ必要とされている。すなわち、求められているのは、単一レベル上および以前のパターン・レベルに対しての双方で、パターン寸法に対して本質的に敏感であり、パターンを形成する膜または複数の膜ならびに下にある積層膜および基板の特性に対して鈍感であるものである。
【0017】
従来技術の問題および欠点に留意した上で、本発明の目的は、イン・ラインの測定および制御ツール、テスト・パターンおよび評価方法を含む統合された測定システムを提供することである。これは、リソグラフィおよびエッチング・プロセス条件ならびにオーバーレイ・エラーを判定し、これによって、1つのパターン・グループが、露光、焦点、およびエッチングの問題を判別することができ、第2のパターン・グループが、半導体パターン処理における二次元オーバーレイ・エラーを測定することができ、双方のグループの測定を同時に行うことができる。
【0018】
本発明の別の目的は、焦点および露光等のリソグラフィ・パラメータ、ならびに速度および等方性等のエッチング・パラメータを評価する、容易かつ低コストで利用することができる方法を提供することである。
【0019】
本発明の更に別の目的は、限界寸法、プロファイル属性(例えば側壁角度、厚さ損失)、露光および焦点条件、オーバーレイ・エラー、および膜厚の特徴を明らかにすることができる単一の装置を提供することである。
【0020】
本発明の更に別の目的は、リソグラフィ・プロセスおよびエッチング・プロセスのパラメータに対する補正を確定して最適なパターニング性能を維持する手段を提供することである。
【0021】
本発明の更に別の目的および利点は、本明細書から、部分的に明らかになり、部分的に容易に認められよう。
【課題を解決するための手段】
【0022】
本発明において、当業者に明らかであると思われる上述およびその他の目的および利点が達成される。本発明は、第1の態様において、基板上の寸法を測定する方法に関し、基板上の寸法を測定する方法であって、主方向に主周期ピッチPを有する構造体アレイを含む公称パターン(nominal pattern)を設けるステップを含む。公称パターンは、主方向(例えばx方向)に沿った主周期ピッチPで反復する公称特徴寸法によって特徴付けられ、公称特徴寸法は、主方向に実質的に直交する方向(例えばy方向)に沿って所定の変動を有する。公称パターンを用いて、公称パターンに対応して基板上にターゲット・パターンを形成し、ターゲット・パターンが公称特徴寸法に対応した基板特徴寸法を有するようになっている。パターンの特徴寸法は、必ずしも測定対象の寸法ではない。次いで、基板上に形成されたターゲット・パターンを、少なくとも1つの波長によって特徴付けられる放射によって照射して、回折次を生成する。公称特徴寸法に対する基板特徴寸法の寸法差に応答して、対象の寸法(例えば測定対象の寸法)と、1つ以上の非ゼロ次回折の前記実質的に直交する方向に沿った検出された変動との間の関係を与える。実質的に直交する方向(例えばy方向)に沿った1つ以上の非ゼロ次回折の対応する変動を検出し、次いで、前記1つ以上の非ゼロ次回折の検出した変動に基づいて、与えられた関係に従って対象の寸法を求める。多波長または広帯域の照射を用いる場合は、平行な方向(例えばx方向)の1つ以上の非ゼロ次回折の変動を検出して、ターゲット寸法およびプロファイルの特徴における変化に対する回折強度のスペクトル応答を求める。
【0023】
好ましくは、1次の非ゼロ次回折の強度の変動を集めるが、本発明は、いずれかの、または多数の、検出可能な非ゼロ次回折を使用可能であることを想定する。多波長または広帯域照射を用いることによって、この方法は、パターン・プロファイルの特徴、ならびに露光量および脱焦の測定および制御を可能とする。
【0024】
この方法は、限界寸法(CD)の測定用に適合させることができる。本発明に従って設計したデュアル・トーン・ターゲット・パターンを用いることによって、この方法は、露光量および脱焦等のリソグラフィ・パラメータの測定および制御を可能とする。
【0025】
また、この方法は、オーバーレイ測定用に適合させることができる。オーバーレイ測定の場合、1つ以上の非ゼロ次回折の強度および位相の変動を用いる。
【0026】
別の態様において、本発明は、測定を実行するための装置に関し、この装置は、ターゲット・パターンを照射するための放射源と、1つ以上の非ゼロ次回折の変動を検出するための検出器と、基板を固定するための手段と、放射源、基板、および検出器を位置付けて、放射源がターゲット・パターンを照射し、検出器がターゲット・パターンからの回折した放射の1つ以上の非ゼロ次回折の変動を検出するようにするための手段と、を含む。
【0027】
本発明の装置は、ターゲット・パターンからの回折した放射のゼロ次回折を検出するための第2の検出器を有するように更に構成され、放射源および基板に対して第2の検出器を位置付けて第2の検出器がゼロ次回折を検出するようにするための手段と、検出したゼロ次回折に基づいて第2の対象の寸法を求めるための手段と、を含む。例えば、基板のパターニングされていない領域またはターゲットのパターニングされていない領域から反射したゼロ次回折を検出することによって、膜厚を求めることができる。
【0028】
本発明は、更に別の態様において、例えば集積回路のような半導体の製造の間のイン・ライン動作用に(例えば製造トラックまたはエッチング・ツール上で)構成された差動測定のための装置に関する。この装置は、1つ以上の非ゼロ次回折の変動に基づいて、公称プロセス条件からのプロセス条件(例えば露光量および脱焦、またはエッチング・レートおよび等方性)の変動を求めるための手段と、プロセス条件における求められた変動に応答して、以降のプロセス条件において調整を行うための手段と、を含む。
【0029】
本発明は、限界寸法(CD)、オーバーレイおよび膜厚測定、ならびにリソグラフィ・プロセス制御のための方法を提供する。これより、本発明に添付する図面を参照して、本発明についていっそう詳細に説明する。添付図面において、同様の参照番号を用いて、それらと同様の要素および対応する要素を記載することに留意すべきである。図面は、必ずしも一定の縮尺に従って描かれているわけではない。
【発明を実施するための最良の形態】
【0030】
差動CD
図3を参照すると、本発明に従って、単一の統合された光学測定(IM)ツール200が示されている。これを用いて、CD、オーバーレイ、および膜厚を、順次または同時に測定することができる。本発明のIMツール200は、イン・ライン処理システム30内で展開することができる。IMツール200は、SEMツール130、OLMツール120、FTMツール160、またはSCMツール180(例えば図1または図2を参照)の必要をなくす。IMツール200は、リソグラフィ処理ツールまたはエッチング処理ツール内に統合されるように構成することができ、これによって、イン・ライン処理の間の測定を可能とする。IMツール200によって用いられる新規の差動ターゲットおよび測定方法により、各測定サイトにおいてその位置での(in-situ)CDおよびオーバーレイ較正を行う。IMツール200を、以下で更に詳細に述べる適切な測定ターゲットと共に用いて、コストおよび複雑さを増すことなく、全てのウエハ上でいっそう多くのウエハ位置において測定値を迅速かつ信頼性高く得ることができ、従来の測定方法に比べてウエハのスループットが維持または増大する。例えば、各ウエハ上で少なくとも50の測定を実行するが、1時間当たり少なくとも100個というウエハ・スループットを維持することが望ましいであろう。これはつまり、移動、整列、および測定(MAN:move, align and measurement)の時間が、ウエハ当たり50の測定において約0.5秒ということである。現在のMAM時間は、ウエハ位置当たり3〜5秒の範囲である。また、IMツール200は、FTMツール、SEMツール、OLMツール、またはSCMツールの代わりに、オフライン・システム35において展開することも可能であり、これによって全体的なコストおよび複雑さが低減する。
【0031】
本発明に従って、方法、装置、およびターゲット構造を含む統合型測定(IM:integrated metrology)システムを、CD、露光量および脱焦、ならびにオーバーレイの光学測定の実行について記載する。適切に設計したターゲット構造および方法を用いて、同一の装置を、これらの測定の全てに用いることができる。また、本発明のIM装置およびシステムは、反射または散乱エネルギを用いる従来の方法(例えば従来の反射率測定、偏光解析、または光波散乱計測)を用いて、膜厚およびパターン・プロファイル等の他の測定値を得るように適合させることができる。本発明のIMシステムは、フォトクラスタ内およびエッチング・クラスタ内で、イン・ライン・ウエハ処理の間に用いるか、またはオフ・ライン・ウエハ処理のための使用に適している。本発明のシステムは、ウエハ上の設計されたターゲット測定構造から回折した別個の次数を検出するように構成されているので、便宜上、本発明の測定方法およびシステムは、関連するターゲット構造を含めて、これ以降「回折測定(diffractometry)」と呼ぶ。図面を参照して本発明による方法を例示するが、これらは必ずしも一定の縮尺に従って描かれているわけではない。
【0032】
図4から図6に、本発明による回折測定システム40の一実施形態を概略的に示す。照射源410が設けられている。これは、1組の発光ダイオード(LEM)もしくはレーザ等の多波長源、またはキセノン・ランプ等の有限帯域源であると好ましく、照射光学部品413(これは、図示しない縮小対物レンズおよび平行対物レンズを含み得る)を介して、ウエハ450上に形成されたターゲット455上に投射される。図4(a)では、ウエハ450は、x方向およびy方向(y軸は図4(a)の紙面の内外を示す)を有する水平面上に位置する。ウエハの平面に直交する軸は上下のz方向である。ウエハ450は、基板451および積層膜452を含むことができる。積層膜452は、例えばフォトレジスト(またはレジスト)材料層を含む、少なくとも1つ、通例はいくつかの層を含むことができる。任意に偏光子414を設け、回折次数の回折効率あるいはターゲット455が存在しない場合のウエハ450の反射率またはその両方を最適化するように設定することができる。特に、直交磁界分極(TM:transverse magnet)は、格子ターゲット455からの1次回折効率を高める。任意にカラー・フィルタ412を設けて、基本照射波長λ0を中心とした帯域幅を調整して、照射エネルギの範囲が波長±Δλの少なくとも1つの帯域全体に及ぶようにし、充分なコントラストを保証することで、パターニングしたターゲット455で反射した信号を、ウエハ450の表面のパターニングされていない領域475から反射した信号から区別可能とする。レジストに形成されたターゲットに関しては、照射光帯域幅λ0±Δλを光化学エネルギの範囲外として、レジストに追加の変更が施されないようにしなければならない。照射エネルギは、純粋に単色性でないことが好ましい。なぜなら、単色照射光は、積層膜452内の所与の厚さの層において内部反射によって消される場合があるからである。このため、フィルタ412は、λ0±Δλの帯域幅内の波長を有する少なくとも1つのエネルギ帯を与える。以下で更に詳細に述べる主ピッチPを有するターゲット455の非ゼロ次数回折が集光部品または対物レンズ430によって集光されるように、λ0を選択する。図4(a)に示すx−z平面において、集光部品430は、波長分散非ゼロ次数回折を、垂直入射で検出器アレイ460上に投射する。図4(b)に示すy−z平面において、集光部品430は、ターゲット455のy寸法を検出器アレイ460上に撮像する。検出器の平面を座標(x’、y’)によって記述して、座標(x、y)で記述する基板平面から区別する。画像プロセッサ490を設けて、検出器アレイ460が検出する信号(複数の信号)を分析し、対象の寸法を求めることができる。画像プロセッサ490において用いる分析方法は、測定対象の寸法によって異なる。これについては、以下でより詳細に説明する。
【0033】
図4から図6に示す本発明の回折測定装置40の例では、本発明の回折測定(diffractometry)ターゲット455を撮像するように構成され、x方向に沿って配置された反復要素601の主周期P(換言するとピッチP)を有し、これはP>λとなるように選択される。例えば、1つ以上の副領域(subregion)600から成る本発明によるターゲット455について考えると、各副領域600は要素601から成り、これらは、x方向に沿って周期Pで反復し(図4(a)に示すように)、y方向に沿って寸法Hを有する(図4(b)に示すように)。かかるターゲットは、CDを測定するのに適している。また、回折測定装置40を配置し構成するための同様の原理は、本発明に従ってオーバーレイ・ターゲットを撮像するために適用される。好適な実施形態では、装置40は、照射光がx方向(すなわち主周期Pの方向)に沿って射出され、検出器460を配置するz軸に対して以下の角度でターゲット455上に入射するように構成される。
【数1】

ここで、照射角度θが0度と90度との間の実数値を有するために、条件P>nλ0を満足させなければならないことに留意すべきである。ゼロ次光線440は、z軸に対して角度−θに反射され、n次回折光線441は、z方向にほぼ平行である。
【0034】
数式(1)の条件を満足させると、n次回折441は、x方向においてz軸を中心にほぼ対称的な角度分布で回折される。これは以下によって決定される。
【数2】

【0035】
撮像対物レンズ430は、入射および反射光線440と干渉することなくn次回折441を捕捉するように構成され、n次回折の画像を検出器アレイ460上に投射する。検出器アレイ460は、当技術分野において既知の電荷結合素子(CCD)または他の同様のアレイとすることができる。検出器アレイ460において、主周期の方向で(すなわちx’方向に沿って)重複することなくn次回折441を他の回折次から区別することができるように、照射エネルギ帯域幅λ0±Δλおよびターゲット455の主周期Pを選択する。例えばP=1000nmである場合、θ=30度で入射するλ0±Δλ=500±200nmの範囲の広帯域照射は、±Δθ=±13.3度の範囲の1次回折角度を有する。
【0036】
集光レンズ430は、ターゲット455のy寸法を検出器アレイ460上に倍率Mで撮像するように構成されている。このため、検出器460上の回折エネルギは、y’寸法に及び、これは常にターゲット副領域600のy寸法Hの倍数Mである。x方向において、ターゲット455は、周期Pで離間したN個の要素601から成る。平面波単色照射では、検出器アレイ460上に投射された非ゼロ次回折エネルギは、x’寸法L1(λ0)の範囲を有する。これは、集光レンズ430の表面における回折ビームの発散によって決定する。図5に示す回折ビームの主な縞の角度幅は、以下によって与えられる。
【数3】

【0037】
ターゲット455を構成する要素601の周期Pおよび数Nは、照射波長λにおいて充分な角度分散を与えて、第1次回折次を他の回折次から区別可能としなければならない。例えば、x方向に沿って約1μmのピッチPで要素601が約10個あると、約±2度の充分に小さい角度分散が得られる。このため、ターゲット455の好適な実施形態のx方向に沿った合計寸法は、約10μmである。N=10、P=1μm、λ0=500nm、θ=30度では、数式(3)によって、ω
【数4】

は、以降〜_と記載する。
3.3度が得られる。レンズ表面では、ビームの広がりはz0tan(ω)であり、z0は基板からの集光レンズの距離である。非ゼロ次回折の強度は、検出器のx’寸法に沿って変動する場合があり、これは、例えば1次強度I1(x’)のグラフ491によって示される。検出器アレイ460における回折エネルギの範囲は、図5に示すように、長さL1を有し、これは照射光の帯域幅に依存する。従って、波長λ0およびz0=10mmの単色照射では、検出器アレイ上の回折エネルギのx’方向の範囲は、L1(λ0)〜_600μmとなる。λ0±Δλ範囲の多波長または広帯域照射では、伝搬発散はx方向の波長広がりと共に巻き込まれて(convolve)、x方向の投射エネルギを更に広げる。広帯域照射の場合、この結果、投射エネルギがx方向において±Δθにわたって連続的に分散する。先に考察したΔθ=13.3度の場合では、x’方向の検出エネルギの広がりの合計は、L1(λ0±Δλ)
【数5】

は、以降〜〜と記載する。
5mmである。離散的な多波長照射の場合、この結果として、多数のビームが、発散角度に対する波長角度分散に応じて重複する場合もあり、重複しない場合もある。
【0038】
前述の投射特性を達成するために、集光部材430の開口数NAx,yは、基準NAx≧sinΔθおよびNAy≧0.7λ/Hを満足させなければならない。第1の基準は、集光部材430がx方向において発散角度±Δθ内で回折光線441を捕捉することを確実とする。第2の基準は、集光部材430がターゲット455の最小のy寸法を解像することを確実とする。本発明のCD測定ターゲット455は、少なくとも1つの設計公称幅W0を有する主周期(ピッチ)Pで離間したN個の要素601の1つ以上の有限格子「サブ・パターン」または副領域600を含むように設計されている。有限格子サブ・パターン600の全体の寸法は通常、矩形形状を有し、全最小サブ・パターン高さHおよびサブ・パターン長さLによって特徴付けることができる。検出対物レンズ430のNAxは、入射および反射光線440と干渉することなく、x方向における広帯域照射の全角度発散±Δθを包含しなければならない。先に考察した場合では、Δθ=13.3度であり、0.23≦NAx<0.5が必要である。NAyとターゲット・サイズとの間には、直接的なトレードオフがある。λ=700nmおよびH=2.5μmでは、NAy≧0.1が必要である。焦点深度を最大にするため、図4から図6に示すように、許容されるNAx,yの範囲の下限で動作し、基板に対してほぼ垂直な回折光線を用いることが好ましい。通常のCCDアレイは、物理的な画素(すなわち検出器要素)のサイズは約10μmである。H=5μmでは、撮像対物レンズ430の倍率Mは、少なくとも80として、検出器アレイ460上のy’方向において40画素の範囲に及ばなければならない。従って、先に考察した広帯域の場合、1次回折の投射は、(x’、y’)領域L1×MH〜〜5.0mm×0.4mmに及ぶ。ピッチG0によって分離した2つ以上の副領域600がある場合、投射画像492の範囲は、MG0だけ増大する。例えば、図6に示すように、2つの副領域600の場合、y’方向に沿った範囲はM×(G0+H)である。
【0039】
また、図4(a)に示す回折測定システム40は、ゼロ次または反射光線440を別個に検出することができる。ゼロ次光線440が、波長分散光学素子435を通過し、角度が分散した光線445(図4(a)に透過格子435の非ゼロ次回折として示す)が、集光部材436によって集光された後に検出器480(CCDアレイ等)において検出される場合、回折測定システム40を、従来の分光光波散乱計測または偏光測定のために用いて膜厚を測定し、従来の分光光波散乱計測のために用いてネストされた(nested)P<λ構造のCDを測定することができる。集光部材430と同様に、集光部材436は、図4(a)に示すように、検出器480上に対して垂直入射でx方向に分散した光線を投射するように設計することができ、その一方で、y方向に沿った寸法Hの1つ以上の副領域600を含むターゲット寸法をy方向に沿って撮像する。
【0040】
本発明に従ったターゲット455の設計は、例えばCD(プロファイル属性を含む)またはオーバーレイ等の明らかにしたい特性によって異なる。特定のターゲット設計によって、露光量および焦点等のプロセス・パタメータに対する測定CDおよびオーバーレイ属性の応答を高めて、様々なパターニング・プロセス・ステップの間、すなわち実際の処理中にリアル・タイムで、これらのパラメータに対するフィードバックおよびフィードフォワード補正を容易にする。ターゲット・パターンが存在しない積層膜452に対して回折測定システム40を用いて、ゼロ次回折(反射光線)440を捕捉することによって、膜厚の測定を行うことができる。更に、以下に述べるように、照射された基板領域内で多数のターゲットをグループ化して、CD、オーバーレイ、および膜厚の同時判定を可能とすることができる。
【0041】
CDを測定する目的のため、本発明に従って、ウエハ上での形成の間、リソグラフィ露光量、脱焦、エッチング・レート、および等方性等の処理条件に対して差動応答を有するように、ターゲット455を設計する。これについては以下で更に詳細に述べる。本発明のCDターゲットの設計原理については、図7および図8を参照することによって、より良く理解することができる。
【0042】
図7に、理想的な格子50を示す。格子は周期的な線アレイ51を有し、これは線幅Wおよび離間幅S=P−Wを有するスペース53を有する。格子は、水平軸xの方向の周期Pによって特徴付けられる。図7における垂直軸57は、相対的な複合反射率振幅を示す。線51(例えばレジスト線)の反射率がRLであり、スペース53における露出した基板の反射率がRSである場合、相対反射率はRLS=RL−RSである。相対反射率は、照射波長および入射角の関数である。簡略さのため、我々は、2つの反射率型間の遷移が急峻であると想定する。これは、格子要素上の垂直側壁の想定と同等である。非垂直側壁が存在すると、RLとRSとの間に不定の反射率の遷移領域が加わることによって分析が複雑になるが、平均Wの決定に関する結果を根本的に変えることはない。すなわち、CDは格子要素の側壁の高さに関して平均する。非垂直側壁が含まれる効果によって、プロファイル属性および平均Wの決定が可能となる。波長の関数である場合がある振幅A0によって照射される、長さ(または高さ)H(Hはy軸に平行に配置されている)のN個の要素(例えば線)から成る周期Pを有する有限格子の表面上での反射率振幅a(x、y)の空間変動は、以下の数式によって記述される。
【数6】

【0043】
P>λについて有効なスカラ回折理論近似では、各波長における次数nの遠視野(far-field)振幅Anは、数式(4)のフーリエ変換によって与えられる。
【数7】

ここで、(u、v)は、(u≡x/λz、v≡y/λz)によって画定される格子からの距離zでの遠視野座標である。n≠0では、回折次強度In=|An2は、以下によって与えられる。
【数8】

【0044】
数式(6)は、波長λに依存する項およびターゲット要素幅Wに依存する項に分割可能である。方向[μ=n/P、ν=0]では、図4〜図6の検出器460の面における強度は、数式(1)に従ってx’方向の波長において分散し、y’方向における倍率Mで撮像される。
【数9】

【0045】
数式(7)の波長および線幅に依存する成分は分離可能である。検出器の(x’、y’)平面では、x’方向の強度分布In(x’)は、Wについての積分によって得られる。
【数10】

【0046】
x’方向における強度の分散は、相対反射率の大きさ|RLS{λ(x’)}|の直接的な尺度を与える。一方、y’方向の強度分散は、λについての積分
【数11】

は、以降Inバーと記載する。
(y’)によって与えられる。
【数12】

【0047】
y’方向の強度の分布はWの関数である。更に、我々は、特定の次数に回折される照射エネルギの割合である回折効率DEnを、次のように定義することができる。
【数13】

【数14】

【0048】
図8は、n=0、1、および2の回折次数の回折効率DEn(W)56、57、58のグラフを、相対反射率RLS(λ)=1を想定する場合に数式(11)によって与えられるような規格化された線幅w≡W/Pの関数として、それぞれ示す。グラフ56、57、58は、規格化線幅W=0.5である場合(パターニングした領域の量が合計格子領域の50%である場合、格子を50%デューティ・サイクル格子と呼ぶ)、1次回折57はピーク強度にあり、2次回折58はヌル(null)であることを示す。
【0049】
図9は、本発明による回折測定システム40(図4(a)から図6を参照)と共に用いるのに適した、限界寸法(CD)を測定するのに有用な、回折測定ターゲット設計60の一実施形態を示す。CDターゲット60は、N個の(ウェッジ形状)要素601から成る1つのサブ・パターン領域を含む。格子要素601は、要素601の中央軸650間で測定される周期Pで離間されている(周期Pは、ターゲット・パターンの主周期の方向であるx方向に沿って測定される)。要素601は、ターゲット周期の方向(すなわちx方向)に実質的に直交して(すなわちy方向に沿って)長さHを有する。各要素601の設計幅Wdは、寸法H全体でy方向に沿って線形に変動すると好ましい。
【数15】

ここで、ζは、y軸に対する要素のテーパ角度(taper angle)であり、y0≡(y1+y2)/2は寸法Hの中点であり、Wd(y0)=P/2である。y0の近傍の転写(print)直線性の範囲で、転写される幅W(y)は、以下によって求められる。
【数16】

mは、非ゼロ次回折の最大または最少の測定可能位置である。
【0050】
図10(a)から図10(f)に、図9のテーパ格子CDターゲット60のための検出器アレイ460で検出した1次回折像を示す。本発明の回折測定システム40の撮像レンズ430は、x、y強度変動を解像するために必要な範囲内の倍率Mを有するように想定され、これは典型的に50〜100である。図10(a)は、単色照射の場合について、検出器アレイ460の平面図上に作図した検出領域710における像強度Il(x’、y’)を示す。図10(b)は、x’方向で検出器に沿って積分または合計した図10(a)の強度Il(y’)のグラフである。図10(c)は、単色照射の場合について、y’方向で検出器に沿って積分または合計した図110(a)の強度Il(x’)790のグラフであり、これは、光学部品430の発散ω(上の数式(3)を参照)によって求められる幅Ll(λ0)の範囲に及ぶ。範囲λ0±Δλにおける広帯域照射の場合、y’方向に沿って合計または積分した強度Il(x’)795は、上述のように(数式(2)を参照)角度分散Δθによって求められる長さLl(λ0±Δλ)の範囲に及ぶ。図10(d)は、3つの波長λ1、λ0、λ2における離散多波長照射の場合について、検出領域710において検出器アレイ460の平面図上で作図した像強度Il(x’、y’)781、782、783を示し、それらの第1次像は検出器アレイ460上で空間的に分離している。図10(e)は、x’方向で検出器に沿って積分または合計した図10(d)の強度Il(y’)のグラフである。強度分布781、782、783のピークまたはヌルの位置は、波長の関数として所与の回折次について同一であるが、強度の大きさは変動する場合がある。図10(f)は、図10(d)の離散多波長照射の場合について、y’方向で検出器に沿って積分または合計した強度分布Il(x’、y’)781、782、783、の強度Il(x’)791、792、793のグラフである。また、図10(f)には、範囲λ0±Δλで広帯域照射についてy’方向に沿って積分または合計した強度Il(x’)795の一例のグラフも示す。回折は、ターゲットのパターニング領域内でのみ生じるので、検出された強度Il(x’、y’)は、概ねHl×Llの検出領域710の外ではゼロである。これは、MHである像高Hlおよび像長Llを有する。
【0051】
検出している非ゼロ次回折に応じて、条件W(y’)=P/2は、検出強度におけるピーク(奇数の次数)またはヌル(偶数の次数)に相当する。この結果、設計した公称値からのW(y’)の変動は、ピークまたはヌルの位置を固定Hl外周に対してシフトさせる。1次回折の場合、各ターゲット要素601の中央における転写寸法W(y’0)の測定値は、測定された像中心y’0=y’1+y’2/2に対する測定されたピーク位置y’mによって与えられる。数式(13)においてy’0を代入すると、W(y’0)(すなわちCD)を得ることができる。
【数17】

【0052】
測定されたピーク位置y’mは、数式(9)からの測定強度In(y’)の既知の形態を用い、数式(13)からのW(y)をその数式の代わりに用いることで、正確に求めることができる。
【数18】

【0053】
数式(15)におけるパラメータは、a0およびy’mを除いて、全て既知である。このため、強度の最大または最少y’mの位置は、いずれかの適切な曲線のフィッティング法によって求めることができる。例えば、a0およびy’mをのみを自由パラメータとして用いて、測定強度In(y’)に数式(15)を最小二乗フィッティング等を用いる。y’mおよび像中心y’0を求めたら、Hの中点におけるターゲット要素601の転写幅W(y’0)は、数式(14)によって求められる。
【0054】
図11に、ターゲット設計80の別の実施形態を示す。これは、2つの逆テーパ副領域すなわち領域1および2を用いる。これらは、各々、x方向において周期Pでそれぞれ反復する要素801、802を含み、図示のように砂時計形の格子要素800または樽形の格子要素(図示せず)のいずれかに類似するように構成可能である。領域1の要素801は、ピッチG0=y01−y02だけ、領域2の要素801から分離している。y01およびy02は、それぞれ、要素801および802の設計上の中央位置である。これによって、2つのピーク強度位置を互いに対して測定することが可能となり、これによって測定感度が2倍になる。これによって得られる更に別の利点は、プロセス条件によって変化しない既知のピッチ寸法G0=y01−y02がターゲット設計に組み込まれているので、像中央y01およびy02の位置を測定する必要がないということである。2つの1次最大値間の距離G=y’1m−y’2m/M(y’1mおよびy’2mは、数式18の曲線のフィッティングによって決定可能であることを想起されたい)の測定によって、以下により、像中心W(y0)=W(y01)=W(y02)におけるターゲット要素幅を求めることが可能となる。
【数19】

【0055】
CDまたはW(y0)は、既知のターゲット寸法G0、ζ、撮像レンズの既知の倍率M、および測定された寸法Gによって決定される。
【0056】
図9および図11のターゲット設計は、対象のCDがP/2に近い場合に適用される。光学測定を可能とするために必要な条件P>λでは、これは、測定可能なCDに対して下限を定める。しかしながら、本発明の別の実施形態では、ターゲット要素の境界は、回路パターンの最小ピッチ以下である微細ピッチpf≪Pで配置した構造体である副要素のアレイによって表すことができる。微細構造の目的は2つある。
【0057】
(1)転写されている回路パターンとのターゲットの適合性を保証する。粗い主ピッチPは、測定に用いる波長で検出可能な非ゼロ次回折ビームを発生させる必要性によって制限されるが、回路パターンの通常のピッチは、これよりも著しく小さい場合がある。
【0058】
(2)変動を処理するための適切なターゲット感度(回路パターンのもの以上である)を保証する。
【0059】
図12に、かかるターゲットの1例900を示す。図11の要素801、802と同様のターゲット要素901、902、903、904は、主周期Pに平行に配置されたぎっしり(tightly)ネストされた線によって輪郭が描かれ、微細周期pfおよび約pf/2の幅を有する。この例の微細ピッチのターゲット900は、領域1および領域2として示すサブ・パターンに組織され、これらはそれぞれx方向に沿って周期Pで離間した要素901、902から成り、y方向に沿った要素901(すなわち領域1)および902(すなわち領域2)の中点間の分離G0は、図11のターゲット80と同様の方法で予め決められている。領域3および領域4として示す相補的トーン・サブ・パターンは、それぞれx方向に沿って周期Pで離間した要素903、904から成り、領域3および領域4の中点は、y方向に沿った所定の分離G0だけy方向に沿って分離している。相補的トーン副領域対(ペア)間の分離距離911は、ターゲット900の設計にとって重要ではないが、検出信号の適切な分離を可能とするように充分でなければならない。回折測定によって見ると、図12の設計ターゲット900に対応する転写ターゲットは、3つの異なる反射率から成る。すなわち、(1)例えばレジスト線の存在によって表される幅WLを有するテーパ要素901、902の反射率RL、(2)例えばレジストにおける開口またはトレンチによって表される幅WTを有するテーパ相補的トーン要素903、904の反射率RT、および(3)ぎっしりネストされた平行線909およびスペース908で満たされた周囲の領域905の有効反射率ReSである。図13を参照すると、領域1の円領域906の拡大図が示されており、それぞれ領域1および2の第1および第2のテーパ形状901および902(残っているパターン材料領域)が、公称周期pf≪Pのぎっしりネストされた平行スペース908(すなわち、好ましくは約pf/2の幅sを有する線形状の除去されたパターニング材料領域)の端部によって輪郭が描かれていることを示す。スペース908の長さは、主周期Pの方向(すなわち図18のx方向)に沿って配置されている。図13に示す領域906の詳細図に示すように、ターゲット形状領域901、902のテーパ(先細り形状)は、周囲のスペース線908の端部の位置を、連続ピッチpfで固定増分δlずつシフトすることによって達成される。図14に、領域3の円領域907を拡大図で示し、第1および第2のテーパ空間要素903、904(すなわち除去されたパターン材料領域)が、公称周期pf≪Pのぎっしりネストされた平行形状909(すなわち、好ましくは約pf/2の幅sを有する線形状の残っているパターニング材料領域)の端部によって輪郭が描かれていることを示す。形状909の長さは、主周期Pの方向(すなわち図12のx方向)に沿って配置されている。図14に示す円領域907の詳細図に示すように、ターゲット空間領域903、904のテーパ(先細り形状)は、周囲の形状線909の端部の位置を、連続ピッチpfで固定増分δlずつシフトすることによって達成される。異なる反射率間の境界の有効テーパ角度ζは、tanζ=δl/Pfによって与えられる。
【0060】
図12のターゲット設計900によって、テーパ形状901、902の幅WLおよびテーパ空間形状903、904の幅WTを同時に測定することができる。対の非ゼロ次極値位置(y’Lm1、y’Lm2)および(y’Tm1、y’Tm2)の測定によって、以下のように、像中心におけるターゲット要素幅WL,Tを求めることができる。
【数20】

ここで、
【数21】

【0061】
図15(a)に、図12のマスク・ターゲット・レイアウト900のテーパ空間領域3のセクション910に対応する転写された基板パターンの平面図セクション1001を示す。図15(a)に示すx−y平面の平面図1001は、図14の形状線909に対応するレジスト形状1009の交互の線端部によって形成される幅WTを有するテーパ空間903’(図12のマスク形状903に対応する)を示す。図15(b)に、x−z平面の線A−A’に沿った断面図1002を示す。構造体1009は、主周期ピッチPの構造を含む。図15(c)に、y−z平面の線B−B’に沿った断面図1003を示す。構造体1009は、微細周期pfの構造を含む。断面では、構造は、基板450上のレジスト膜1009に形成されている。基板450は、シリコン・ウエハ451の上に配置された、図15(b)および図15(c)において酸化物層452によって表される積層膜452から成る。レジスト1009および積層膜452の厚さは、通常、シリコン・ウエハ451の厚さよりもはるかに小さい。すなわち、tr、tox≪tSiである。
【0062】
図16(a)から図16(c)は、図12から図14および図15(a)から図15(c)のターゲット・パターン900および対応する転写された構造1001、1002、1003についての、本発明の動作のシミュレーション例を示す。レジスト画像形成における露光量および焦点の変化ならびに酸化物およびレジストの膜厚変動のような典型的なプロセス変動に対する、本発明のCD測定のための回折測定システムおよび方法の応答を、米国特許出願第10/353,900号に記載された方法を用いてシミュレーションする。任意の副要素のアレイから成るパターンでは、シミュレータは、リソグラフィ・システムによってレジストに撮像したパターンおよび回折測定装置によって測定した回折エネルギの双方を発生する。マスク・パターン要素の寸法、ピッチ、および透過、レジストの特徴、リソグフラフィ・システムの光学的な特徴、基板上の積層膜、回折測定装置における照射の波長は、全てユーザによって選択可能である。ここに図16から図25に示す例では、シミューションは、厚さが250nmから350nmの範囲であり、反射率が1.73であり、「閾値」モデル(閾値モデルは、特定のレジスト材料の特徴的な閾値以上の露光量に露呈されたレジストのあらゆる部分が現像装置によって除去されることを想定する)を有するポジティブ・レジストの使用を想定した。閾値モデルの使用は、先に注記した垂直レジスト側壁の想定と合致している。ウエハは、反射率が3.5+0.35iであるシリコン基板を含むことが想定される。ここで、虚数成分は吸収に相当し、上にある積層酸化物は、厚さが600nmであり、反射率が1.46である。
【0063】
マスク上のシミュレーション・ターゲット・パターンは、図12のターゲット900と同様のデュアル・トーン・ターゲット・パターンに基づいたが、x方向において周期ピッチPで反復する無限数の回折アレイ要素(要素901、902または相補トーン要素903、904と同様)を有し、要素(901、902、903、または904)は、周期pfにおいてy方向に沿って反復するぎっしりネストされた副要素(図12から図14の線909およびスペース908と同様)の端部によって輪郭が描かれている。シミュレータは、基本構造体の各組または領域を個別にシミュレーションする。すなわち、図12の要素901の無限アレイを含む領域1、要素902の無限アレイを含む領域2、要素903の無限アレイを含む領域3、要素904の無限アレイを含む領域4は、別個にシミュレーションされる。ターゲット・パターンは、テーパ基本回折アレイ要素の(x方向に沿った)主周期の方向において、ピッチPx=1000nmを有する。各基本回折アレイ要素(例えば901、902、903、または904)は、y方向(基本要素の幅が変動する、すなわちテーパの方向)において、副要素909によって輪郭が描かれている。各アレイ要素の副要素909は、サブ・ピッチpf=250nmおよびサブ幅s=125nmを有する。テーパ基本アレイ要素の幅W(y)は、y方向(テーパの方向)でδl=25nmの増分ずつ350nmから850nmまで変動して、図15(a)に示すものと同様のテーパ・セグメント(例えば設計ターゲット領域901、902、903、または904にそれぞれ対応する転写形状)の転写されたアレイ(例えば領域1、2、3、または4)の1つからの回折をシミュレーションする。
【0064】
空間要素格子は、マスク背景透過を1に指定し、パターン透過をゼロに指定することによってシミュレーションする。形状要素格子は、マスク背景透過をゼロに指定し、パターン透過を1に指定することによってシミュレーションする。マスク上の設計50%デューティ・サイクル幅Wd(y0)は、P/2=500nmであるが、印刷50%デューティ・サイクル幅W(y0)は、線短縮効果(line shortening)のため、より大きいマスク寸法に偏らせる。ここで用いるレジスト・パターニングの閾値モデルでは、線短縮の大きさは、空間像および公称露光量の指数(characteristic)である。印刷50%デューティ・サイクル幅W(y0)が、公称露光量でレジストに形成された潜像においてアレイ要素の中心(H/2)に位置することを確実とするために、マスク・パターン幅は、線短縮の予想量だけ増大させなければならない。
【0065】
シミュレーションにおけるフォトリソグラフィ露光システムは、開口数(NA)が0.7、部分コヒーレンスが0.6、照射波長が193nmであることを想定した。大きい開き領域を充分に露光するために必要な露光量に規格化された公称露光量は、0.32であった。シミュレーションした現像画像は、規格化した露光量から−10%、0%、および+10%に変動する露光量条件について計算した。0nm、100nm、および200nmで脱焦について3つの露光量条件の各々でシミュレーションを実行した。結果としてシミュレーション現像画像から得られたゼロ次および1次の回折信号は、等しい部分のTEおよびTM偏光から成る、角度θ=30度で入射する300nmから700nm帯域幅の平面波照射を想定して計算した。2次回折信号は、等しい部分のTEおよびTM偏光から成る、角度θ=44.4度で入射する300nmから400nm平面波照射を想定して計算した。
【0066】
図16(a)から図16(d)に、形状(901、902)およびスペース(903、904)領域の輪郭を描く細分化した構造体908、909の、露光量および脱焦に対する差動線短縮応答を示す。図16(a)に、ゼロ脱焦(zero defocus)の場合のクリア・フィールド1025における線端部形状915の端部のシミュレーション潜像を示す。線915の先端は、公称露光量(0%)で長さLAに位置し、E0と標示する曲線で示す。露光量が−10%(曲線E-10)から0%、+10%(曲線E+10)まで増大すると、公称形状903に対する空間形状903’の幅WTの増大に対応して(図15(a)を図12ら図14と比較)、レジスト線が短くなることに留意すべきである。これに対して、逆のトーン・パターン(例えば公称形状901、902)では、レジスト・フィールド916に線端部空間1016が形成され、露光量が−10%(曲線E-10)から+10%(曲線E+10)まで変動すると、空間長LBは長くなる。公称露光量(0%)では、レジスト形状915の長さLAは、空間1016の長さLBとは異なる(すなわち、もっと長い)ことに留意すべきである。一方、脱焦の条件のもとでは、形状およびスペース寸法は双方とも同じように応答し、図16(c)および図16(d)に示すように、(クリア・フィールド1025における)線端部形状917の長さLCC、および、(レジスト・フィールド918における)線端部空間1018の長さLDは双方とも、ゼロ脱焦の場合の長さLA、Lと比較して、200nm脱焦で短くなっている。
【0067】
ここで図17を参照すると、1次(n=1)および2次(n=2)回折について数式(11)によって求められる回折効率DE1,2(W)が、0%露光量エラー、ゼロ脱焦の場合について、300nmのレジスト厚さを想定して示されている。これは、ターゲット幅Wdが変動する方向に沿って(y’方向に沿って)作図され、ゼロ次および1次では300〜700nmから、2次では300〜400nmからの波長で(x’方向に沿って)平均され、この場合、数式(1)の0度から90度の範囲内にある実際の回折角度の要求によって許容波長帯が制限される。テーパ空間ターゲット903、904の回折効率は、次数n=1、2についてそれぞれ曲線1221、1222によって示し、Tと標示し、テーパ形状901、902は、次数n=1、2についてそれぞれ曲線1201、1202によって示し、Lと標示する。本発明によれば、第1次曲線1221、1201のピークの位置にそれぞれ相当するターゲット寸法WT1(ym)、WL1(ym)、および、2次曲線1222、1202のヌルの位置にそれぞれ相当する寸法WT2(ym)、WL2(ym)は、基板およびターゲット反射率に依存せず(数式(9)に示すように)、CDの決定ならびに露光量および焦点の変動の分析には特に有用である。これらの寸法は、測定回折効率(この場合はシミュレーションしたデータ)を、数式(15)における形態のパラメータ曲線にフィッティングることによって、求められる。
【数22】

ここで、Wm≡W(ym)は、基板上に寸法W=P/2を生成するために必要なターゲット設計寸法である。図17において、離散的なデータ・ポイント1231、1241、1232、1242は、シミュレーションした回折効率値であり、実線の曲線1201、1221は、(n=1)についての数式(18)のフィッティングであり、点線の曲線1202、1222は、(n=2)についてのフィッティングである。フィッティングパラメータは、以下の通りである。
【表1】

【0068】
図17において明らかなように、フィッティングの品質は、0.35から0.85ミクロンまで、Wの大きな範囲において良好である。最適な露光量(微細構造体s=0.125μmを適切なサイズに転写するのに必要な露光量)および完璧な焦点であっても、回折次WmのピークWT1(ym)、WL1(ym)、およびヌルWT2(ym)、WL2(ym)は、設計値Wd=0.5μmに対して著しくシフトしている。n=1最大値によって決定されるように、幅WLを有するターゲット形状901、902の輪郭を描くスペース端部908の短縮は69nmである。これに対して、幅WTを有するターゲット・スペース・形状903、904の輪郭を描く線端部909のものは116nmである。これは、空間像の閾値輪郭と質的には一致するが、空間像の短縮は、測定された短縮の約半分を占めるに過ぎない。測定では、短縮は過大に推定される。なぜなら、反射率が異なる領域の境界が微細構造体によって空間的に変調されるからである。測定値は、その変調の平均値である。表1からわかるように、n=2最小値で決定する短縮は、n=1最大値で決定するものより大きいことに留意すべきである。これは、2次回折が、1次回折とは異なる方法で平均エッジ変調に重み付けするからである。異なる回折次は、エッジ変調に対して異なる感度を有する。これによって、多次数回折の測定が線のエッジの粗さに関して有用な情報を与えることが示される。
【0069】
図18は、露光量に対するWmの応答を示し、図19は、露光ツールの焦点(B)に対する応答を示す。図18を参照すると、形状901、902について、曲線1301はn=1の露光量に対する応答であり、曲線1302はn=2の露光量に対する応答である。相補的な形状903、904では、曲線1311はn=1の露光量に対する応答であり、曲線1312はn=2の露光量に対する応答である。図19を参照すると、形状901、902について、曲線1321はn=1についての脱焦に対する応答であり、曲線1322はn=2の脱焦に対する応答である。相補的な形状903、904では、曲線1331はn=1の脱焦に対する応答であり、曲線1332はn=2の脱焦に対する応答である。双方の回折次n=1、2について、露光量応答は概ね線形であり(図18)、焦点応答は、概ね放物線状であり、最良の焦点に対して対称的である(図19)。露光量応答の勾配は、2つのターゲット・トーンで符号が逆であるが、焦点応答の曲率は同じ符号である。この形状およびスペース構造の別個の応答によって、例えばAusschnittによる方法(米国特許第5,965,309号)(その内容は全体的に引用により本願にも含まれるものとする)を用いて、リソグラフィの露光量および焦点を別個に制御することができる。
【0070】
図20および図21は、x’方向においてゼロ次n=0および1次n=1について、下にある酸化物膜452の厚さtoxの100nm範囲での回折エネルギのシミュレーション挙動を示す。それらは図4(a)の検出器480および460においてそれぞれ検出され、空間ターゲットTは、W=P/2=0.5μmの転写寸法に対応した値に近い設計寸法Wd=0.6μmを有する図12の領域3および4を有する。回折エネルギは、n=0の場合は図4(a)から図6の透過格子要素435によって、n=1の場合は転写ターゲット格子455によって、波長において線形に分散する。このため、このため、波長は、非ゼロ次を検出するように構成された検出器460においてx’方向に同等であり、図4から図6においてゼロ次回折を検出するように構成された第2の検出器480においてx’’方向に同等である。数式(5)に示したように、n=0のスペクトル応答は、基板反射率RSおよび相対反射率RLSの双方の関数である。数式(10)に示したように、n=1のスペクトル応答は、基板|RLS|に対するパターン反射率の直接的な尺度である。下にある酸化物の膜厚の変化に対する回折効率の感度を、ゼロ次について図20に、1次について図21に、450nmと550nmとの間の100nmの酸化物厚範囲で10nmの酸化物厚の増分で作図した曲線の広がり1400、1401によって示す。λ0=500nmでの広がりは、2つの回折次間の相対感度の大まかな測定基準として、双方向矢印1400、1401によって示される。ゼロ次の広がり1400は、1次の広がり1401よりも著しく広いので、明らかに、ゼロ次は1次より酸化物の厚さに対する感度がはるかに高い。相対感度の量的な尺度は、酸化物の厚さによる回折効率変動範囲の、範囲ΔDEn/DEnの中点でのその値の比を取ることによって得ることができる。図20のゼロ次広がりについて、ΔDE0/DE0〜〜1.5であるのに対して、図21の1次広がり1401については、ΔDE1/DE1〜〜0.4である。このため、ゼロ次の回折効率は、我々の例では、下にある酸化物膜の厚さに対して3倍以上の感度を有する。これは、パターン属性を測定するためには非ゼロ次の方がより良い選択であるが、下にある膜の厚さを測定するためにはゼロ次の方がより良い選択であることを示す。実際、ゼロ次回折は、パターンがない場合、この点に関して最も良好に機能する。
【0071】
図22および図23は、図20および図21のものと同様のグラフ対を示すが、ここで異なるのは、先に規定したほぼ最適な露光量を中心とした±10%の範囲でパターンを露光するために用いた露光量の変化によって生じるターゲット・パターン密度の変化に対する回折次の応答を示していることである。焦点は、最良の焦点に固定されている。ここでも、曲線のゼロ次についての広がり1500、1次についての広がり1501によって、露光量に対する相対感度を評価することができる。パターン属性を測定するためには、非ゼロ次の方がより良い選択であると結論付ける。図20および図21の場合と同様、相対感度の量的な尺度は、露光量による回折効率変動範囲の、範囲ΔDEn/DEnの中点でのその値の比を取ることによって得ることができる。図22におけるゼロ次広がり1500では、ΔDE0/DE0〜〜0.1であるのに対して、図23における1次広がり1501では、ΔDE1/DE1〜〜0.3である。図22および図23の例では、1次の回折効率は、ゼロ次よりも、露光量に対して約3倍の感度を有する。実際、ゼロ次は、より高い次数に回折される照射エネルギのために、主としてパターン変化に対して敏感である。従って、相対反射率RLS→0になると、ゼロ次感度がゼロになる。
【0072】
図24および図25は、マスク寸法Wdの2つの異なる公称値で、最良の焦点を中心とした200nmの範囲でターゲット・パターンを転写するために用いた焦点の変化に対する1次回折効率の感度を示す。露光量は、最適な露光量に固定されている。図24に示すように、Wd=0.6μmでは、転写された格子は約50%デューティ・サイクルを有し、脱焦に対する感度は低い。図25に示すように、Wd=0.15μmでは、転写された格子は約20%デューティ・サイクルを有し、脱焦に対する感度は比較的高い。このため、より分離された格子要素によって高い焦点感度が達成され、焦点制御の達成にはこれらの比較的分離した構造の測定が必要である。一方、露光量の感度は、ターゲットのデューティ・サイクルの強い関数ではない。
【0073】
従って、露光量および脱焦の双方に対する最適なスペクトル感度が、比較的分離した格子要素について達成されるという結論に至る。これによって、以下で論じるいっそう簡単なターゲット実施形態が得られる。
【0074】
図26に、CDの測定に用いる本発明の離散的な差動格子ターゲット455の好適な実施形態1701を示す。この例示的なターゲット1701は、公称CDサイズに制約を課さないCD測定の好適な手段を提供する。ターゲット格子1701は、2つ以上のサブ・パターン領域に分割される。例えば、領域1(参照番号1731)および領域2(参照番号1732)であり、それぞれ、例えば1711、1712のような線から成り、y方向に沿って配された長さHを有する。公称幅、例えば線1711、1721の幅Wd1、Wd2は、それぞれ各サブ・パターン1731、1732内で均一であるが、それぞれサブ・パターン領域1731、1732間では異なる(例えば、第1のサブ・パターン領域1731は、公称幅Wd1を有する線1711を含み、第2のサブ・パターン領域1732は公称幅Wd2の線1712を有する)。構造体1711、1712は、好ましくは接続領域1750によって結合され、これによって、要素1711、1712の線短縮を回避し、転写された構造上の要素1711、1712に対する構造的な支持を与えるのに役立てることができる。要素1711、1712の幅は通常、接続領域1750の幅よりもはるかに小さいことに留意すべきである。分離したサブ・パターン領域(例えば1731、1732)は、相互に対してy軸に沿って配列されていることが好ましいが、これは必須ではない。以下のサブ・パターン特徴を定義し、ピッチに規格化する。
【0075】
1.
【数23】

は、以降wバーと記載する。
≡(W1+W2)/2Pは、設計上の公称サイズがwバーdである未知の平均幅である。
2.δ≡(W1−W2)/2Pは、2つの設計上の公称幅間の所定の(設計上の)オフセットである。
【0076】
この例では、設計上の公称寸法wバーd=(Wd1+Wd2)/2Pである。ここでWd1、Wd2は、それぞれサブ・パターン・ライン1711および1712の設計上の公称幅である。2δは、充分に小さく設計して、各一定線幅サブ・パターン領域(例えば1731、1732)内の反射率RAが同一であり、リソグラフィ・パターニング・プロセス内のCD変動の範囲で、所定のオフセットδが不変であるようにする。所定のオフセット値δは、CD変動の現場での(in-situ)較正として使用可能である。設計上の公称オフセットδを、設計上の公称平均線幅wバーdの0.5からの逸脱の小数部βとして選択すると有用である。ここで、以下が成り立つ。
【数24】

【0077】
2領域差動格子CDターゲット1701の検出画像1800は、検出器アレイ460の平面図に作図した図27(a)に示すように見える。図27(c)に示すように、強度(I1、I2)は、それらの各サブ・パターン領域1801、1802(それぞれ寸法HI1×LIおよびHI2×LIを有し、それらは各々約MH×MNPである)内で一定である。これによって、精密な検出器の較正の必要がなくなる。なぜなら、検出された強度を多くの検出器で平均することができるからである。図27(b)のグラフ1805に、y’方向で合計または積分した強度を示す。これは、広帯域源のためのスペクトル応答を提供し、ターゲット要素の平均線幅およびターゲット要素のプロファイルの関数である。従って、転写されたターゲット要素のプロファイル特性は、光波散乱計測と同様に、測定したスペクトル応答をスペクトル応答ライブラリと比較することによって判定可能である。しかしながら、本発明に従ったスペクトル応答の使用は、図20から図21によって示されるように、非ゼロ次回折のスペクトル応答が下にある膜厚に対して比較的感度が低いという利点を有する。図27(c)に示す強度1811、1812は、それぞれ、x’方向で合計または平均された強度1801、1802であり、2つの平均強度1811、1812間のコントラストは、2つのターゲット副領域の格子要素の平均幅の測定値を与える。これについては以下で更に説明する。図28に、平均幅wバーに対する2つの強度I1(1801)、I2(1802)の依存度を、β=0.15について示す。
【0078】
以下に示す更に別の定義を与える。
【数25】

【0079】
未知の平均幅wバーは、以下のように表すことができる。
【数26】

【数27】

【0080】
数式(20a)および数式(20b)の平均幅wバーは、図27(a)および図27(b)の2つの強度領域1801、1802の測定コントラストC、ならびに、2つの公称線幅Wd1、Wd2W間の既知の所定の(すなわち設計上の)オフセット差δによって決定される。図29に、ウエハ・ターゲット上の予想される印刷平均幅wバーexpの関数として計算したwバーについての2つの解(数式(20a)および数式(20b)における符号の選択によって決定される)のグラフを、wバー+、wバーによって示す。wバー=wバーexpである数式(20a)および数式(20b)の正の解wバー+は、平均線幅である。図30に示すように、コントラストの二乗C2は、βパラメータに依存する。格子要素がますます分離するにつれて、コントラストは増大する。すなわち、W→0,Pである。W→Pになるにつれて、格子要素は分離した空間になることに留意すべきである。
【0081】
半導体用途のために求められるCD測定の精度は、約1nmである必要がある。本発明のCD測定技法の精度は、wバーの変化に対するCの感度に依存する。関連する小さい変動については、コントラストの小数部変化ΔC/Cは、以下で与えられる。
【数28】

【0082】
数式(20a)および数式(20b)から、以下が得られる。
【数29】

【数30】

ここで、以下が成り立つ。
【数31】

【0083】
数式(22a)および数式(22b)は、コントラストによるwバーの変化率を表し、このため、感度の尺度を与える。良好な測定感度(wバーによるコントラスト変化率として定義され、これは数式(22a)および数式(22b)の逆数である)のために、wバーの小さい変化によって、コントラストの大きい変化を生じることが好ましい。数式(22a)および数式(22b)の重要な特性は、図31に示すように、dwバー/dCはゼロに近付く場合、感度は線幅の極限値wバー≪1および1−wバー≪1で増大するということである(すなわち幅WがPに近い場合)。一方の極限値では、各格子要素は、膜の存在によって規定される反射率RAを有する構造体である。他方の極限値では、各格子要素は、膜の不在によって規定される反射率RSを有する構造体である。
【0084】
いずれの場合でも、本発明の差動CD測定は、構造体幅が減少するにつれて感度が増大するという所望の特徴を有する。図32に、wバーd≦0.05領域における感度挙動の詳細な図を示す。数式(21)から数式(23)において、P=1000nmおよびβ=0.15で置換すると、図26の50nm公称CDの1nmの変化(例えばwバー=50nmである場合、Wd1は15%大きくなり、または約57.5nmであり、Wd2は15%小さくなるまたは約42.5nmである)は、Δwバー=0.001、|dwバー/dC|〜〜0.18であり、C
【数32】

は、以降>〜と記載する。
0.25であるので、ΔC/C>〜0.02である。公称コントラストの2%の変化(絶対コントラストの0.5%の変化)は測定可能であるので、幅の測定可能な変化の1nmの精度を達成可能である。
【0085】
上述のように、差動CD測定の1つの目的は、ウエハ上のフォトレジストにおけるパターン形成の間に、露光量および焦点等の処理条件に対する差動応答を可能とすることである。図33〜35に、露光量および焦点分離を可能とする回折測定ターゲットのいくつかの実施形態を示す。図33のターゲット2300は、差動形状(すなわちレジスト等のパターニング層が残っているパターニング材料層)および空間(例えばレジストのようなパターニング層が除去されたパターン領域)の格子から成り、図示するように、4つの領域すなわち2301、2302、2303、および2304を画定する。格子要素2311、2312、2313、2314の幅W1、W2、W3、W4は、格子周期よりはるかに小さい。すなわち、幅W1、W2、W3、W4≪Pであるので、各要素はその周囲のものに対して分離されている。第1および第2の領域2301および2302内で、基板(オープンな空間2320)の反射率はRS1であり、格子形状要素(例えばレジスト形状2311、2312)の反射率はRLである。これに対して、第3および第4の領域2303および2304内では、周囲の領域(例えば大きいレジスト領域2330)の反射率はRS2であり、格子空間(基板)要素2314の反射率はRTである。反射率は、狭い構造体の領域においてエッジ効果およびプロファイル特性によって影響を受ける「有効」反射率であることに留意すべきである。2対の逆トーン領域がある。すなわち、サブ・パターン領域2301、2302から成るほぼオープンな(除去されたパターン領域)空間2320、および、サブ・パターン領域2303、2304から成るほぼ充填された(すなわちレジスト等のパターニング層材料で充填された)領域2330である。2対のデュアル・トーン領域(2320、2330)は、上述の本発明による分離した形状および空間の寸法を測定する際に別個に扱うことができる。レジストにおける潜像または現像された画像として形成されると、図34の曲線で示すように露光量が−10%(2401)から0%(2402)から+10%(2403)に増すにつれて、分離形状の幅WL=(W1+W2)/2は、点線の矢印2405で示す方向に減少する。これは、分離空間の幅WT=(W3+W4)/2のものに対して逆の方向である(図47の曲線上の点線の矢印2406に示す)。これは、露光量が−10%(2411)から0%(2412)から+10%(2413)に増すにつれて増大する。一方、空間(図35の曲線2411、2412、および2413)および形状(図34の曲線2401、2402、および2403)の寸法は、脱焦(または焦点)の変化に対して同じ方向に変化する。図34および図35のグラフは、本発明の測定方法のシミュレーションであり、図33に示すターゲット2300の焦点−露光量行列に適用したものである(図16(a)から図16(d)について記載したものと同じ条件下で)。40nmのバイアスを空間要素2313、2314に提供して、形状要素2311、2312と同じ公称露光量で適切なサイズに転写することを保証した。露光量および脱焦を抽出するための方法は、Ausschnitt(米国特許第5,965,309号)、または、C. P. Ausschnittによる発表「Distinguishingdose and defocus for in-line lithography control」、Proc. SPIE、Vol.3677、pp.140-147(1999年)に記載されている。いったん、露光量および焦点に対するデュアル・トーン・ターゲット2300の応答が、プロセスについて特徴付けられると、先の発表において記載されているように、そのプロセスによって生成されたパターンの寸法における公称からの変化の測定を、逆モデリングによって、露光量および脱焦に変換することができる。一方のトーンは、クリアな(または明るい)フィールドにおける不透明な(または暗い)線または構造体(残りのパターニングした材料を表す)によって特徴付けられ、逆のトーン・パターンは、不透明(または暗い)フィールドにおけるクリアな(または明るい)構造体によって特徴付けられる。
【0086】
図36に、差動CD/露光量/焦点ターゲット2500の別の実施形態を示す。ここで、それぞれ第1および第2のサブ・パターン領域2501、2502内では、反射率RLによって特徴付けられる残りのパターニング材料の有効分離形状領域2511、2512(それぞれ公称幅W1、W2を有する)は、公称周期pf≪Pのネストされた狭い幅の平行空間(すなわち、好ましくは約pf/2の幅を有する線形状の除去されたパターニング材料の領域)の端部によってほぼ囲まれた領域によって形成され、それらの長さは、主周期Pの方向(すなわち図36のx方向)に沿って、有効形状領域2511、2512のエッジに直交して配置されており、図13の微細周期の線908で画定された形状領域901、902と同様である。サブ・パターン領域2503、2504内では、反射率RTによって特徴付けられる有効分離空間領域(除去されたパターニング材料の領域)2513、2514(それぞれ公称幅W3、W4を有する)は、公称周期pf≪のぎっしりネストされた平行な狭い矩形形状(すなわち、好ましくは約pf/2の幅を有する残っているパターニング材料の線)の端部によって形成され、それらの長さは、主周期Pの方向に平行に、有効空間領域2513、2514の縁部に直交して配置されている。有効空間領域2513、2514の輪郭描写は、図14の微細周期線909の空間領域903、904の輪郭描写と同様である。回折測定装置40によって見られるように、微細周期の平行な矩形の線および空間によって覆われる領域2523は、有効反射率ReSによって特徴付けられる。上述のように、Ausschnittによる米国特許番号第5,965,309号に記載された発明に従って、図33のターゲット2300のものと同様の方法で、図36の領域(2501、2502)および(2503、2504)の2対は、有効分離形状wバー1、wバー2および空間wバー3、wバー4の有効幅の測定において別個に扱うことができる。図34および図35、ならびに図38および図39に示すように、露光量に対する有効分離形状幅wバー1、wバー2の応答は、有効分離空間wバー3、wバー4のものに対して逆であるが、それらの脱焦に対する応答は同じである。形状および空間構造のこの異なる応答によって、Ausschnitt(米国特許番号第5,965,309号)によるリソグラフィ露光量および焦点の別個の制御が可能となる。図33のターゲット2300に対する図36のターゲット2500の利点は2つある。すなわち、1)形状および空間の端部で、露光量および焦点に対する感度が増している。2)図36のターゲット2500は、チップ・パターンのものに比べて、より均一なパターン密度を維持し、これは、CMP(化学機械研磨)等の他のプロセス・ステップとの適合性を保証するのに必要なものである。
【0087】
レジスト膜に形成されたパターンの差動CD測定による露光量および焦点の差動応答を可能とする他のターゲットは、Starikov(「ExposureMonitor Structure」、SPIE vol. 1261Integrated Circuit Metrology, Inspection, and Process Control IV(1990年))およびInoue等(2001年6月26日に発行された米国特許番号第6,251,544号)が記載した露光量敏感設計、ならびに、Suwa(米国特許番号第4,908,656号)およびAusschnitt(米国特許番号第5,953,128号)が記載した焦点敏感設計に基づいて生成することができる。StarikovおよびInoueの設計は、マスク・パターン上の副解像度支援構造体(SRAF:sub-resolutionassist features)を用いることによって、露光量感度を大きく増大させ、焦点感度を抑制する。SuwaおよびAusschnittの設計は、線の端部の先細り形状を導入することによって、脱焦に対する感度を増大させる。これらの設計は、図37(a)および図37(b)に示すように、上述した本発明の差動CD測定に容易に適合される。図37(a)において、ターゲット2600は、それぞれ反復要素2611、2612を有する2つのサブ・パターン領域すなわち領域1および2から成る露光量敏感セクション2610、ならびに、それぞれ反復要素2623、2624を有する2つのサブ・パターン領域すなわち領域3および4を含む脱焦敏感セクション2620を有するように設計される。ターゲット2600は、N個の反復するサブ・パターン要素セクション2630のx方向に主周期Pを有する(明確さのため、2つのみの反復要素セクション2630を示す)。オープンなパターニング領域または空間(残っているパターニング材料の間)は、それぞれ、サブ・パターン要素2611、2612、2623、および2624に対応する幅W1、W2、W3、W4を有する。図37(b)において、1つの要素セクション2630を形成するために用いられるマスク・レイアウト2650の一部を示す。上方マスク・セクション2670は、主要構造体2651、2653から成り、隣接する副解像度支援構造体(SRAF)2652、2654を用いて、ウエハ上にそれぞれ主要構造体2611、2612を撮像する。図38に示すように、露光量敏感領域2610における空間W1、W2の幅は、曲線2701が示すように、露光量に対して極めて敏感である(例えばStarikovおよびInoueが記載したように)。図39に示すように、脱焦による幅W3、W4の変動は、曲線2703が示すように、急速には変化しない。
【0088】
これに対して、焦点敏感領域2620は、例えばテーパ線2661、2662から成る2680等のマスク・レイアウトによって形成され(SuwaまたはAusschnittによって記載されたように)、それぞれパターニング領域2623、2624を形成する。焦点敏感領域262の空間の幅W3、W4は、図38の曲線2702と同様に、露光量の関数として変動し、このため、露光量変動に対して比較的鈍感であるが、図39の曲線2704に示すように、脱焦に対して比較的極めて敏感である。
【0089】
本発明に従って用いる他の回折測定ターゲットは、Brunner(米国特許第5,300,786号)が示したように、マスク上の位相シフト要素を用いて焦点感度を達成するように設計することができる。これらの実施形態の全てに共通することは、それらがCDまたはオーバーレイ測定に依存することである。様々なターゲット設計は、上述の本発明の差動CD測定に、または、以下で述べる本発明の差動オーバーレイ測定に、容易に適合される。露光量および焦点制御以外の特定の用途に対処するターゲットは、とりわけ、図9、図11、図12〜図14、図33、図36、および図37(a)〜図37(b)に示す実施形態から組み立てることができる。例えば、図9に示すウェッジ(wedge)要素601に近似した一連の幅ステップから成るターゲットは、広範囲のCDにわたってリソグラフィ・プロセスの線形性を定量化する手段を提供する。かかるターゲットにおいてマスク測定に対してウエハ測定を比較することで、サブ波長撮像においてCD変動の源を理解するために重要な、いわゆるMEEF(マスク・エラー増大ファクタ)効果を定量化する。
【0090】
また、ターゲット実施形態は、特定のパターニング層の特性に合わせて調整することができる。図40は、ターゲット2800を示す。これは、全体的に、xおよびy方向において微細周期pfのコンタクト・ホール2801から成り、公称幅Wd1を有するもっと大きい要素2811および公称幅Wd2を有する2812を形成するように配置され、x方向に沿って主周期Pを有する。別の実施形態では、図41は、ターゲット2900を示し、これは2つのサブ・パターン領域すなわち領域1および2を有し、背景反射率領域2905は、微細周期pfで細かく離間した線から成り、それぞれ公称幅Wd1、Wd2を有する測定可能格子要素2901、2902の粗い周期Pに対して平行に延在する。
【0091】
図42に示す別のターゲット実施形態3000は、スルーピッチCD測定の平行取得(parallel acquisition)に本発明の差動回折測定を適用することを可能とする。ピッチまたは周期に対する転写されたCDの測定依存性は、光学近接補正(OPC:Optical Proximity Correction)ルールの決定に対して重要である。OPCルールは、製品マスク・レイアウトの変更を支配して、異なるピッチの構造体を共通のサイズに同時に転写することを確実とする。OPCルール発生の現在の制約は、従来のSEM CD測定手法が遅くて面倒であることである。特に、SEM CD測定では、代表的なプロセス・ウインドウ上で転写されたCDの整合を保証するための充分なデータ収集を行うことができない。図42のターゲットは、多数の差動格子3001、3002、3003、3004から成り、各々が図26に示すものと設計上同様であり、ここで周期P1、P2、P3、P4は、それぞれ、1つの差動格子から次のものに変化する。単色照射λ0では、図42のターゲット3000からの回折は、図43に示すように発生する。ゼロ次強度I0a、I0b、I0c、I0dは、経路440に沿って反射し、1次強度I1b、I1b、I1cは光学部材430によって集光される。しかしながら、副領域3001の1次強度I1aは集光されない。なぜなら、差動領域3001のピッチがPa0であるからである。角度間の関係は、以下の格子式によって表すことができる。
【数33】

【0092】
非ゼロ次回折がこの装置によって検出可能である格子周期範囲は、条件−NAx<sin a<NAxの条件を満足させなければならない。この場合、以下が成り立つ。
【数34】

【0093】
最大集光角度amax=30度に対応して、浅い角度の照射θ=70度およびNAx=0.5では、1次検出の範囲は0.7λ0<P<2.3λ0である。このため、波長200nmで利用可能なDUV光源は、範囲140nm<P<460nmの周期でCD測定が可能となる。もっと長い波長の照射を用いて、もっと大きい周期を包含する範囲が達成される。多波長または広帯域源は、最先端の基本原則でOPCについて対象となる全範囲、すなわち150nmから3000nmの周期に対応する。数式(24b)で定義した低いカットオフよりも小さい周期は、ゼロ次のみにおいて検出可能である。図44(a)は、検出器460の平面図上で3つの周期Pb<Pc<Pdの広帯域照射についてターゲット3000から検出された1次強度Ib、Ic、Idを示す。回折角度は周期によって変動するので、検出される強度はx’方向に交互になっている。x’方向は検出器460上での変動する波長の方向に対応する。図44(b)に示すように、周期の各々におけるCDの同時測定は、x’方向で積分または合計された強度I1(y’)の別個の測定によって可能となる。このため、本発明の方法は、幅広いピッチにわたってCDの同時測定を可能とする。
【0094】
図4(a)の装置40のゼロ次検出経路440は、CDの測定と同時に膜厚の測定を可能とする。図45(a)に示すように、図26のターゲット1701と設計上同様であるターゲットの場合、図26のターゲット1701のパターニング領域1731、1732に対応して、CCD2検出器480(図4(a))の平面図上に作図したゼロ次像は、y’方向において領域3301、3302に分割され、一方、領域3305は、図26のターゲット1701のパターニングされていない領域1705に対応する。パターニングされていない領域3305の強度スペクトルI0(x’)は、膜厚測定のために利用可能である。図45(b)に示すように、ターゲット領域1711、1712にそれぞれ対応する2つの格子像領域3301、3302間のパターニングされていないターゲット領域1750に対応する線A−A’に沿ったパターニングされていない像領域3305におけるゼロ次強度スペクトルI0(x’)3307は、膜の固有の特性、すなわち、i番目の膜の屈折率ni(λ)、ki(λ)の実成分および虚数成分、ならびに各膜の厚さtiに依存する独特のシグニチャを有する。ni(λ)、ki(λ)値が既知である場合、自由パラメータとして厚さを用いて、測定スペクトルに対する多膜層のモデル化応答の従来のフィッティングによって、厚さを求めることができる。ni(λ)、ki(λ)値の1つ以上が既知でない場合、それらの決定は、コーシーの公式(Cauchyformulation)等の予想される分散挙動の従来のモデルを用いることで、フィッティング・ルーチンに含ませることができる。むろん、検出可能な非ゼロ回折がない場合(ターゲットがない場合に当てはまる)、同じ方法を、図4(a)の装置40の検出器480を用いた膜特性および厚さの決定に適用することができる。
【0095】
差動オーバーレイ
図46および図47に、図4(a)の本発明の回折測定システム40の実施形態の変形を示す。双方ともターゲット主ピッチPの方向すなわちx方向に沿った2つの逆方向からターゲット40を照射する能力を加えている。図46は、負のx方向(主周期の方向)から送出されるターゲット455の照射を示し、図47は、正のx方向から送出されるターゲット455の照射を示す。これによって、単一の装置で、正および負の双方の回折の検出が可能となる。これは、光源410の再配置、またはターゲット・ウエハ450の再配置を可能とし、ターゲットに対する適切な照明方向を実現するように装置40を構成することで達成可能である。照射が左から右に向かい、角度θ=arcsin(λ/P)である場合、+1次回折441が検出される。照射が右から左に向かい、角度θ=arcsin(−λ/P)である場合、−1次回折441’が検出される。正および負の双方の回折次数を検出する能力は、オーバーレイ・エラーの測定には不可欠である。これについて以下で説明する。
【0096】
図48に、理想的なオーバーレイ・ターゲット格子3500の反復ユニット(図7の理想的なCD回折の概略図と同様)を示す。ここで、明確さのために、1つのみの反復ユニットを示す。ウエハ上に転写されているもののようなオーバーレイ格子ターゲット3500は、各周期P内の2つの構造体3501、3502から成る。一方の構造体3501は、幅WA≦P/4を有するパターニング・プロセスAによって形成され、第2の構造体3502は、幅WB≦P/4を有するパターニング・プロセスBによって形成される。パターニング・プロセスBは、第1の構造体層3502を印刷するためのプロセスを表すことができ、パターニング・プロセスAは、第2の、上にある構造体層3501のプロセスを表すことができる。先に論じたCDターゲットの場合と同様、構造体3501および3502は、構造体が転写されるプロセス層の基本原則と適合可能である限り、もっと小さい構造体の線、トレンチ、およびアレイから成ることができる。周期Pに対する幅WAおよびWBが、測定可能なオーバーレイ・エラーの範囲を決定する。図48の理想的なオーバーレイ格子3500は、水平軸xの方向において、各周期内に2つの線3501、3502を有する。線3501は幅WAを有し、線3502は幅WBを有し、それらの中央線は距離Dxだけ分離している。比Dx/Pは、1/2の公称値を有するように設計されている。従って、周期Pに規格化された、2つの構造体3501、3502間のx方向オーバーレイ・エラーεxは、次のように表すことができる。
【数35】

【0097】
図48の垂直軸3507は、実際の振幅1およびゼロ位相を有する線3501、ならびに、実際の振幅γ(ここで、0<γ≦1である)および位相φ(ここで、−π≦φ≦π)を有する線3502の規格化複合反射率を示す。線3501、3502の反射率の規格化は、下にある積層膜および基板の複合反射率を基準としたものである。ターゲット構造体が単一層に形成されているCDの場合(図7および図8を参照)とは異なり、オーバーレイ構造体3501、3502は、積層膜の異なる膜に形成することができる。従って、一般に、所与の波長において、基板に対する2つの構造体3501、3502の反射率は等しくなく、振幅および位相の双方で異なる。これについては以下で更に述べる。
【0098】
基板反射率RSおよび各線の反射率RA、RBでは、振幅A0によって照射される長さH(長さHは、y方向に沿って、周期の方向であるx方向に直交して、配される)の線の対を含むN個の周期から成る有限格子の表面上での反射率振幅a(x、y)の空間変動は、以下の数式によって記述される。
【数36】

ここで、我々は、RAS≡RA−RSおよびRBS≡RB−RSを定義義した。P>λに対して有効なスカラ回折理論近似では、次数nの遠視野振幅Anは、数式(26)のフーリエ変換によって与えられる。
【数37】

ここで、(u、v)は、(u≡x/λz、v≡y/λz)によって定義される格子からの距離zでの遠視野座標である。方向(u=n/P、v=0)では、図46および図47の検出器460の平面における強度は、数式(1)に従ってx方向の波長に分散し、y方向に倍率Mで撮像される。n≠0では、1次振幅は、以下によって与えられる。
【数38】

対応する強度は、以下の通りである。
【数39】

ここで、単一波長において、以下の定義が当てはまる。
【数40】

【数41】

【数42】

そして、範囲0<γ(λ)≦1および−π<φ(λ)≦πが当てはまる。多波長照明のもとでは、数式(30a)から数式(30c)の定義は、各波長における相対反射率の関数になるが、数式(28)および数式(29)における振幅および強度の一般的な式は有効のままである。換言すると、回折強度測定からの(未知の)オーバーレイ・エラーεxを求めるためには、2つの追加の未知のパラメータ、すなわち、規格化複合反射率の振幅および位相(γ、φ)を求める必要がある。従って、本発明は、有効振幅および位相差、ならびに、回折強度の測定に基づいたパターンAおよびB間のオーバーレイ・エラーを明らかにするための手段を提供する。これについては、以下で更に詳細に説明する。
【0099】
γ=1、φ=0の場合、数式(29)における強度の式は、数式(7)と同様になり、上述のCDを決定するために用いたものと類似のオーバーレイ・エラーεxを決定するための手法を適用可能である(数式(20a)および数式(20b))。しかしながら、製品ウエハ・オーバーレイ測定において見られるような、γ<1、φ≠0である一般的な場合、この手法を大きく変更する必要がある。図49に示されているのは、n=±1次回折の規格化強度I(Dx)/4|K|2のグラフ3513、3515であり、これらは、数式(29)によって与えられるように、相対反射率の大きさγおよび相対位相差φ=0の2つの値(それぞれγ=1.0およびγ=0.5)で、オーバーレイ・エラーεx(数式(25)から、εx=Dx/P−1/2であることが思い出されよう)の関数として示されている。これらの条件のもとで、n=±1次回折の双方の規格化強度は同一であり(すなわち、各曲線3513、3515は、正および負の次数の重ね合わせ(superposition)である)、最小値は双方の次数についてεx=0.5に固定されるが、Imax−Imin/Imax+Iminとして規定される変調は、γが減少すると共に減少する。図50は、それぞれγ=1、φ=π/8において、n=+1およびn=−1の規格化強度のグラフ3517、3519を示す。n=+1、−1強度曲線3517、3519は、それぞれ空間的に分離して、それらの平均が、図49に示す曲線3513のようにφ=0、γ=1の場合と同等であり、最小値が、εx=0に対応してDx/P=1/2の公称値に対して逆方向にオフセットするようになっている。あるいは、非ゼロφの場合のn=±1次の強度曲線3517、3519は、区別する(difference)ことができ、その差の関数は、ゼロ・オーバーレイ・エラーの位置を通過するゼロを有する。しかしながら、数式(29)から、この方法は、φがゼロまたはπ/2の倍数に近付くと無効になることに留意すべきである。従って、φの任意の値では、オーバーレイ・エラーは、正および負の回折次数における強度変動の平均移相によって最良に決定される。これについては以下で詳細に述べる。
【0100】
図51は、オーバーレイを測定するのに有用な、本発明に従った回折測定システム40(図46および図47を参照)と共に用いるのに適した回折測定ターゲット3600の一実施形態を示す。測定可能オーバーレイ・ターゲット3600は、1対の要素3610および3620を含む。これらは、角度ζで逆方向に傾き、各々が周期Pで(すなわち、ターゲット・パターンの主周期の方向であるx方向に沿って)反復する。要素3610および3620の各対間の相対距離Dx(y)は、y方向において寸法Hにわたって線形に変動する。各要素対の相対傾斜は、y方向に沿って、y=H/2においてDx(y)/P=0.5である(これを以降y0と称する)ように設計されている。このため、設計上の距離は以下のように与えられる。
【数43】

そして、オーバーレイ・エラーεxが存在する場合の印刷距離は、以下のように表すことができる。
【数44】

ここで、ymは、Dx=P/2に対応する位置のシフトした場所である。CDターゲットの場合、オーバーレイ・ターゲット3600を構成する周期Nの数は、約10以上でなければならない。
【0101】
波長λkにおける単色照射を想定して、図52(a)から図52(d)に、y方向の倍率Mを有する回折測定システム40を用いて、H1=MHであるようにした、図51の格子オーバーレイ・ターゲット3600のn=+1の1次回折の画像を示す。図52(a)および図52(d)は、それぞれ、+1および−1次回折の強度3701、3702を示し、それぞれ、図46および図47に示すような方位からの照射のため検出器460の平面図上に作図し、Bプロセス・パターンの相対位相φはゼロである。グラフ3711、3712に、x’方向上で平均化した(または合計した)対応する強度を、それぞれ示す。φ≠0である場合、図52(c)および図52(d)に、検出器460の平面図上に、+1および−1次回折強度3703、3704を作図し、グラフ3713、3714に、対応するx’方向の平均化または合計した強度をそれぞれ示す。回折は、ターゲットのパターニング領域内でのみ発生するので、検出された強度は、領域H1×L1(λk)の外ではゼロである。グラフ3711、3712、3713、および3714に示すように、y’1からy’2に延出する検出器460平面のH1×L1(λk)領域内では、強度はx’方向で均一であるが、数式(29)に従って、図49および図50に示すように、y’方向において変動する。φ=0の場合、n=±1次は同一であり、最小強度はDx(y’x)=P/2の場合に発生する。従って、非ゼロ・オーバーレイ・エラーεxは、正および負の双方の次数n=±1の最小強度の位置でシフトを生じ、固定したHI外周によって画定されるy’0=y’1+y’2/2(図52(a)および図52(b)のグラフ3711および3712を参照)での最小の公称設計位置に対して同じ方向である。一般に、オーバーレイ・エラーは以下のように表される。
【数45】

ここで、φ=0の場合、y’v≡(y’-v+y’+v)/2=y’-v=y’+vである。しかしながら、φ≠0の場合、n=±1次の最小値(y’-v、y’+v)および最大値(y’-p、y’+p)は、Dx(y’)=P/2位置を中心に対称的にシフトする(図52(c)および図52(d)のグラフ3713および3714を参照)。この結果、オーバーレイ・エラーεxは、正および負の回折次数で平均化した最小および最大の位置に直接のみ関連する。
【数46】

ここで、y’v≡(y’-v+y’+v)/2およびy’p≡(y’-p+y’+p)/2である。
【0102】
非ゼロ次回折を用いてオーバーレイ・エラーを決定するための最も一般的な手法は、回折強度の変動を数式(29)の関数依存度に当てはめることである。固定波長λkでは、未知の振幅Kk、γk、位相φk、およびオーバーレイ・エラーεkは、最小二乗フィッティング等の曲線フィッティング法によって決定可能であり、測定した正および負回折次数の強度の和を、数式(29)のターゲット周期に垂直な方向(y’方向)の既知の依存度に当てはめる。
【数47】

ここで、以下が成り立つ。
【数48】

χ2は、自由パラメータとしてK、γ、φ、およびεxを用いて最小化される残りである。図53(a)は、検出器460の平面上で、それぞれ波長λ0+Δλ、λk、λ0−Δλの多波長照射について、正の1次強度3801、3802、3803の平面図を示す。正の次数における回折強度のy’依存度の測定の最小二乗フィッティングは、図53(b)に示すように、検出器アレイ460上で各位置x’(λk)で実行可能である。検出器アレイ460上の平面図に、対応する負の1次強度3806、3805、3804を示し、図53(d)は、負の次数についての最小二乗フィッティングを示す。同様の分析を、広帯域照射について行うことができる。オーバーレイ・エラーは波長に依存しないが、多波長または広帯域照射を用いると、γk>γthresholdが1に近い所定の閾値よりも大きい波長のみを集合的に用いて、測定精度を保証することができる。γthresholdの許容値は、数式(30b)によって示されるように、ターゲット要素の相対幅および照射波長範囲におけるそれらの反射率に依存する。測定オーバーレイ・エラーは、異なる検出器位置x’(λk)において求められる波長特定のオーバーレイ・エラーεx(λk)の平均値である。波長特定オーバーレイ・エラーの標準偏差を計算することができ、これによって測定精度のその位置での(in situ)監視を行う。
【0103】
CD測定の場合と同様に、本発明に従ってウエハ上に転写されるオーバーレイ・ターゲット3900の別の実施形態は、「砂時計形」または「樽形」ターゲット要素3901、3902を含むように設計され、これらが、Aリソグラフィ・プロセスのための2つの逆傾斜セクション3911およびBプロセス条件に対応する3912から成り、図54に示すように公称ピッチG0で分離した2つの副領域3910、3920に組織され、転写ターゲット3900上に乗っている。2つの副領域3910、3920の使用が測定感度を二倍にする一方で、所定のピッチによって、要素3911、3912のそれぞれの検出器460上の中央位置y’01、y’02(図54に示すターゲット3900の平面における位置y1m、y2mに対応する)を求める必要性をなくす。数式(35a)の最小二乗フィッティングは、Dx=P/2に対応するシフト位置間の距離、すなわちG=(y’1m−y’2m)/Mを決定する。既知の周期G0に対する測定距離がオーバーレイ・エラーを決定する。
【数49】

【0104】
図55に示す離散差動格子ターゲット4000は、本発明の同じ差動回折測定の原理に基づいてオーバーレイ測定の代替的な手段を提供する。格子4000は、3つ以上の副領域、例えば領域0(4010)、領域1(4011)、領域2(4012)に分割され、各副領域内で、ピッチPで離間した、幅WAを有するAプロセスの要素4001は、x方向(主周期の方向)に沿って同じ位置を有するが、幅WBを有するBプロセス要素4002は、やはりピッチPで離間されているが、異なる副領域でA要素4001に対して名目上異なる配置で配置されている。各副領域の要素は、y方向において公称長さHを有する。領域0(4010)と示されている副領域の1つで、B要素4002はA要素に対して公称固定オフセットDx=0.5Pを有する。隣接する副領域(例えば領域1(4001)、領域2(4012))で、B要素4001は、それぞれ相対オフセットD1、D2を有し、ここでD1=Dx−Δ2、D2=Dx+Δ1である。ここで、好ましくは、Δ1=Δ2である。各副領域は、図46に示すように正のx方向で、倍率Mを有する回折測定システム40の検出器アレイ460上で別個に撮像されて、正の1次を捕捉し、次いで図47に示すように負のx方向で、負の1次を捕捉する。図56(a)および図56(b)に、各領域における強度がほぼ一定である単色照射の場合の、検出器アレイ460上の検出画像を示す。図56(a)に、領域1(4111+)、領域0(4110+)、および領域2(4112+)について、n=+1(正)画像を示し、図56(b)に、領域1(4111-)、領域0(4110-)、および領域2(4112-)についてのn=−1(負)次の画像を示す。上述のように、各領域ごとの画像のサイズは、HI×LIであり、ここHI=MHおよびLI=MHPである。好ましくは、所与の次数の差動ターゲット4000の全ての領域を撮像した後、照射の方向を切り替えることによって、正および負の画像を順次撮像する。各画像を、以降の分析のためにストアすることができる。撮像シーケンスについて多くの変更が可能であり、これらには、ターゲット周期に平行な多数の方向から同時に照射される異なる方位で転写される多数のターゲット撮像、または、代替的な撮像方位を得るためにウエハを順次再配置することが含まれるが、これらに限定されるわけではない。各回折次数ごとに、別個のターゲット領域(例えば4011、4010、4012)の構成を、検出器アレイ460の対応する領域上で撮像する(例えば、+1次について、それぞれ領域4110+、4111+、4112+において画像強度I0+1、I1+1、I2+1、−1次について、それぞれ領域4110-、4111-、4112-において画像強度I0-1、I1-1、I2-1)。
【0105】
数式(29)から、主周期の方向、例えばx方向に沿ったオーバーレイ・エラーεxに対する6個の強度I0+1、I1+1、I2+1、I0-1、I1-1、I2-1の応答を、図57から図59に作図する。これらは、図55におけるオーバーレイ・ターゲット4000の場合であり、γ=1における相対位相φの3つの代表的な値(すなわち、それぞれφ=0、π/8、およびπ/4)について示す。強度は、許容されるダイナミック・レンジ上でのみ作図している。これは、図55のオーバーレイ・ターゲット4000の別個のAおよびBプロセス・パターンが重複しないオーバーレイ・エラーεxの範囲である。例えば、図55のターゲット4000の場合、ダイナミック・レンジは、約(P/4+(WA+WB)/2)<εx<3P/4−(WA+WB)/2)であり、この中で、オーバーレイ・エラーの変化に対する相対強度の応答は線形である。許容されるダイナミック・レンジの外では、オーバーレイ・エラーに対する強度の応答は、非線形である可能性が高い。ターゲット要素の幅WA、WBは、好ましくは充分に大きいものを選択して、近似効果が顕著でないようにし、更に、転写幅が本質的に設計どおりであるようにする。好ましくは、WA、WBは、名目上等しく、周期の固定小数部として選択し、好ましくはWAおよびWBは約0.2Pである。6個の強度(すなわち、2つのオーバーレイ・ターゲット副領域4010、4011、4012についての+1および−1回折次数)についてのオーバーレイ・エラーεxの応答は、各々、規格化した相対位相φの関数として一意に変動する。印刷ターゲットの相対位相レジメ(regime)に従って、6個の強度の応答曲線を分類することができる。例えば、図57は、φ=0についての強度応答を示す。ここで、各ターゲット副領域4010、4011、4012では、それぞれ強度曲線4210、4211、4212に示すように、正および負の回折次数は相互に重なっている。しかしながら、φ=π/8の場合、6個の強度応答曲線I0+1、I1+1、I2+1、I0-1、I1-1、I2-1、4220+、4220-、4221+、4221-、4222+、4222-の各々は、それぞれ、図58に示すように異なる。一方、φ=π/4の場合、I1+1(4232)およびI2+1(4233)の応答は各々別個であるが、I0-1、I1-1およびI0+1、I2+1は、それぞれ、図59に示すように、曲線4230および4231で重なっている。従って、本発明は、相対位相φおよび相対大きさγを抽出するために、強度の相対応答を用いる。これについては以下で更に詳細に述べる。
【0106】
単一の波長で検出される強度の比は、以下の数式の組によって記述される。
【数50】

【数51】

【数52】

【数53】

ここで、以下のパラメータを定義した。
【数54】

【0107】
数式(37a)〜数式(37c)を並べ替えて、3つの未知のΨ+、Ψ-、およびρについて、一般的な解を以下のように得る。
【数55】

【数56】

【数57】

ここで、以下が成り立つ。
【数58】

【0108】
差動オーバーレイ測定について特に関心の対象となるのは、好ましくはΔ=P/4の場合である。そのためa=0であり、数式(38a)〜数式(38c)は以下のように簡略化される。
【数59】

【数60】

【数61】

ここで、以下が成り立つ。
【数62】

【0109】
簡潔さのために、Δ=P/4の場合に焦点を当てるが、Δの他の値の場合にも同様の分析を容易に適用することができる。
【0110】
数式(25)および数式(37)〜数式(39d)は、ここで、測定可能強度(I0±1、I1±1、I2±1)の項で、未知のオーバーレイ・エラーεx、相対振幅(magnitude)γ、および相対位相φについて、解くことができる。
【数63】

【数64】

【数65】

【0111】
数式(38a)および数式(38b)のΨ+、Ψ-の定義におけるアークコサイン関数によって、解にあいまいさが生じ、これは、オーバーレイ・エラーおよび相対位相の物理的な特徴に従って解を制約することによって解決可能である。測定強度比の項で表されている数式(39a)〜数式(39d)を、正しい(すなわち物理的に意味のある)オーバーレイ・エラーεxおよび位相φの決定に適用するには、変換を(Ψ+、Ψ-)に対して行う必要があり、これはΔ=P/4である場合、(−π<φ≦π)内の異なる可能な位相レジメと一貫する。これは、数式(41)のφを一定に制限することによって達成される。なぜなら、相対位相はオーバーレイ・エラーの関数として変化しないからである。更に、数式(40)のオーバーレイ・エラーεxは、好ましくは、許容されるダイナミック・レンジ上で1つの傾斜を有する線形に制限される。すなわち、転写されたDxの変動は、結果として、εxの値において固定した比例の変化を生じることが好ましい。
【0112】
(Ψ+、Ψ-)に対する必要な変換は、図60から図62のフローチャートに示す。ここで、以下のパラメータを定義した。
【数66】

【0113】
図60および図62に示すフローチャートが記述する論理ツリーにおいては、全てが測定強度から導出される数式(43)の様々なパラメータを試験し、ゼロと比較して、Ψ+およびΨ-の値を調節(または変換)しなければならないか否かを判定する。
【0114】
図60を参照すると、最初にパラメータΔCを試験する(ブロック4301)。ΔC=0である場合、これは、φ=0またはπである場合(ブロック4302)の第1の「縮退(degenerate)」の場合となり、2つの回折n=±1次が同一である。次に、パラメータΔΨの符号を調べる(ブロック4303)。ΔΨ≧0である場合(ブロック4304)、相対位相φをゼロに等しくセットし(ブロック4305)、パラメータ
【数67】

は、以降Cバーと記載する。
の符号を調べる(ブロック4306)。Cバー≧0である場合(ブロック4307)、Ψ+またはΨ-の変換は必要ない(ブロック4308)。Cバー<0である場合(ブロック4309)、ブロック4310に示すように、Ψ+をπ+Ψ+に変換し、Ψ-をπ−Ψ-に変換する。ΔΨ<0である場合(ブロック4311)、φをπに等しくセットし(ブロック4312)、Cバーを調べる(ブロック4313)。Cバー≧0である場合(ブロック4314)、Ψ+をπ+Ψ+に変換し、Ψ-の変換は行わない(ブロック4315)。Cバー<0である場合(ブロック4316)、Ψ-の符号を逆にし、Ψ+をπ−Ψ+をに変換する(ブロック4317)。ΔC≠0である場合(ブロック4318)、ブランチ(ブロック4400)を取り、図61に示すようにΨ+を決定し、更に、ブランチ(ブロック4500)に進んで、図62に示すようにΨ-を決定する。
【0115】
図61を参照すると、ΔC≠0である場合のΨ+ブランチ(ブロック4400)では、最初に、C+の符号を調べる(ブロック4401)。C+≧0である場合(ブロック4402)、ΔTを調べる(ブロック4403)。ΔT<0である場合(ブロック4404)、Ψ+の変換は必要ない(ブロック4405)。ΔT≧0である場合(ブロック4406)、ΔCを調べる(ブロック4407)。ΔC>0である場合(ブロック4408)、Ψ+の変換は必要ない(ブロック4405)。ΔC<0である場合(ブロック4409)、Ψ+をπ+Ψ+に変換する(ブロック4410)。同様に、C+<0である場合(ブロック4411)、ΔT(ブロック4412)およびΔC(ブロック4416)の符号を順次調べて、Ψ+の適切な変換を決定する(ブロック4414またはブロック4419)。同様に、ΔC≠0の場合に適切なΨ-の変換を決定するため(ブロック4505、4510、4514、および4519)、図62に示す論理に従って、ブロック4500から開始する。この論理によってΨ+およびΨ-を変換したら、数式(39a)から数式(39d)によって、図55の4000等、本発明のオーバーレイ・ターゲットから検出した6個のn=±1次強度I0+1、I1+1、I2+1、I0-1、I1-1、I2-1(図56(a)および図56(b)に示すように)の測定から、εxおよびφの正確な値を決定することができる。図63に示すように、結果として得られる、Ψ+およびΨ-の変換の後に数式(40)から計算されるオーバーレイ・エラーεCの、オーバーレイ・エラーεinのいずれかの入力値に対する応答は線形であり、1の傾斜およびゼロの切片(intercept)を有し、Δ=P/4の場合に許容ダイナミック・レンジ内のγおよびφの値には関係ない。このグラフを作成するため、0.1の増分で0.1≦γ≦1の値を選択し、π/100の増分で−π≦φ≦πを選択した。図51の連続的に変化するターゲットの場合と同様、多波長または広帯域照射を用いて、異なる位置x(λk)での強度のサンプリングを行って、γを最大化することができる。
【0116】
数式(38d)において定義した相対強度比の差ηおよび合計κの測定変化に対するオーバーレイ・エラーεxの感度は、以下のように与えられる。
【数68】

【0117】
数式(39)から、以下が得られる。
【数69】

【数70】

【0118】
数式(38a)〜数式(38d)における置換によって、以下が得られる。
【数71】

【数72】

ここで、数式(44)の計算におけるΨ+、Ψ-の値は、数式(39a)〜数式(39d)と同一の変換を行わなければならない。
【0119】
図64に示すように、γ=0.8およびφ=0の場合、本発明の差動オーバーレイ測定の望ましい特性は、εx=0で∂εx/∂κがゼロを通ることである。これによって、本発明のターゲット設計および測定技法がεx=0の近傍でオーバーレイ・エラーに対して高い感度を有することが保証される。εxに対する∂εx/∂κの依存度は、オーバーレイ・ターゲットを定義する2つのパターン・レベルAおよびBの相対振幅γおよび位相φの関数である。(∂εx/∂η)はゼロを通らないので、これは感度に対して∂εx/∂κと同じほどに大きくは寄与しない。
【0120】
オーバーレイ・エラーの測定においては、少なくとも2つの方位のn=±1次強度を検出して、オーバーレイ・エラーのベクトル成分であるεx、εyを求める必要がある。このため、本発明の回折測定装置は、好ましくは、照射を方向付け、図54および図55およびに示すようなもの等のターゲットの2つの方位に相当する少なくとも2つの方位でn=±1回折次を集光する能力を含む。図65および図66は、本発明の回折測定装置340の一実施形態の概略図を示す。これは、必要な強度データの迅速な取得を可能とし、共通の光源410(例えば以前に述べたような帯域幅λ±Δλを有する)、任意のカラー・フィルタ412、照明光学部品413、任意の偏光子314、および共通の回折次検出器460(例えば第1のCCDアレイ)を用いる。回転可能ミラー398は、最初は方向310に沿って、順次ミラー(例えば301、302)へと照射を方向付け、これらのミラーは、経路321に沿って基板340上の回折測定ターゲット455へと照射を方向付ける。ターゲット455は、x方向に沿って配された周期Pによって特徴付けられている。回転可能ミラー398は、異なる方位からターゲット455の照射を与えるように回転することができる。このため、例えば、図65において、回転可能ミラー398は、方向変換ミラー301、302等の手段によって、正のx方向に沿って照射を方向付け、+1回折次441を集光する。回転可能ミラー398の向きを180度変えることによって、照射は最初に320の方向に向かい、方向変換ミラー304、303等の手段に向かって、経路331に沿って、負のx方向からターゲット455を照射し、このため、図42(b)に示すように、−1回折次441’を集光する。検出器光学部品430および、任意に検出器CCD1 460自体は、回転するミラー398と同期して回転して、光学部品430の異方性(x−yで異なる)撮像機能と照射の面との間の固定関係を維持する。追加の方向変換ミラー(図示せず)をy方向に設けて、y方向でもオーバーレイの測定値を得ることも可能である。任意に、基板450は、回転可能プラットフォーム380上で支持あるいは固定またはその双方を行うことができる。プラットフォーム380を用いて、異なる方向からの照射を可能とするように基板450を配置することができる。任意に偏光子314を設けて、ミラー398と共に回転させて、最適な1次回折効率に対応した偏光を得る。好適な実施形態は、照射を、直交軸上に位置する4つの異なる方位に向ける。入射方向に直交する要素を有するターゲット格子では、1次回折を、格子周期に垂直な方向において検出器CCD1 460上で拡大および撮像し、格子周期に平行な方向で波長に線形に分散させる。任意に、第2の検出器アレイ(CCD2等)480を設けて、分散要素435を介し第2の光学部品436を介して送られたゼロ次エネルギ440を集光することができる。更に、CCD3等の第3の検出器アレイ485を設けて、第3の光学部品486を介して誘導された分散要素435(回折しない)で反射したゼロ次エネルギを集光して、以下で更に述べるように、追加の測定値を得ることができる。
【0121】
図65および図66の測定装置には、多くのターゲット構成を適用可能である。上述の基本タイプのCDおよびオーバーレイ・ターゲットを、単一および多数のプロセス層上でグループ化して、特定の製造およびプロセス特徴付け用途に調整した同時に照射されるターゲット「クラスタ」を形成することができる。上述のようなクラスタの1つは、図42に示すスルー・ピッチ・ターゲットである。ターゲット・クラスタを生成する際には、主ターゲット周期および照射平面に垂直な方向での撮像によって、撮像方向における隣接格子が相互に干渉しないことを確実とする。このため、クラスタは、単一の方位で多数の(検出視野のみによって限定される)基本ターゲットで構成することができ、照射平面に垂直な方向に積層されている。しかしながら、照射平面に平行な周期を有する基本ターゲットの回折強度の波長分散の伸長(elongation)においては、同じ方位の基本ターゲットを照射平面において相互に隣接して配置することができないということが要求される。照射平面に積層されるターゲットの分離は、それらの方位を変更することによって達成される。照射平面における基本ターゲット間の最良の分離は、同時に照射される基本ターゲットの方位がそれぞれ照射平面に対して平行および垂直である場合に達成される。図67に、オーバーレイ、CD、および膜厚の同時測定を可能とする有用なクラスタ構成を示す。図67に示すように、本発明の差動オーバーレイ・ターゲット4800の実施形態は、レベルBおよびA(すなわち、プロセスBを用いて転写した格子要素はプロセスAを用いて以前に転写したものと交互配置される)で2つのオーバーレイ格子4810、4830を有することができ、各々が、2つの部分から成る格子副領域を有する図54の格子3900と同様であり、x方向に配置された格子4810はx方向ピッチPOLxを有し、y方向に配置された格子4830はy方向ピッチPOLyを有する。ほとんどの場合、POLx=POLy=POLである。また、これと同じターゲット4800を、BレベルCD格子4820、4840を組み合わせるように設計する。各々が、図9、図11、図12〜図14、図26、図33、図36、図37(a)〜図37(b)、または図30〜図42に示すもののいずれかに設計が類似し、それぞれピッチPCDx、PCDyを有し、ほとんどの場合、PCDx=PCDy=PCD=POL=Pである。
【0122】
検出器460(CCD1)の平面上で、順次画像4901、4902、4903、4904は、回転可能ミラー398の方位に応じて、図69に示すように現れる。一例として、図68に示すように、ロックされた位置1、2、3、および4に順次時計方向322でミラーが回転し、各像4901、4902,4903、4904の形成を可能とすることを想定する。オーバーレイ画像処理は、各方位および回折次数において各格子領域の強度をストアすること、数式(35a)〜数式(36)を適用して2つの部分から成る各オーバーレイ格子領域4810、4830におけるオーバーレイ・エラーを解決することから成る。
【0123】
また、図68から図71に示す差動CDおよびオーバーレイ装置340の実施形態は、従来の分光光波散乱計測および膜厚測定を実行するように装備することができる。回転可能ミラー398を両側に反射させることによって、反射したゼロ次光440は、波長分散要素435を介して第2の光学部品436を通って各方位で第2のCCD検出器480(すなわちCCD2)へと向けることができる。従来のまたは差動格子ターゲットのいずれかが存在する場合、ゼロ次スペクトルを、従来の光波散乱計測技法によって分析して、パターン(CD、側壁角度等)および下にある膜の様々な特性を明らかにすることができる。先に注記したように、図68から図71に示すように、CCD2アレイ480の平面図に像4905に示す、パターニングされていないターゲット領域のゼロ次強度スペクトルは、膜厚測定のために使用可能である。CCD2画像4905は楕円形として示されている。なぜなら、これは、透過格子435の周期に垂直な方向に撮像され、透過格子周期に平行な波長分散方向に伸長した、ほぼ円形の光源410のゼロ次強度であるからである。全体的なターゲット画像は、光学部品486と共に構成されてゼロ次エネルギ4906を集光する第3の検出器アレイ485(すなわちCCD3)によって捕捉することができ、ターゲット・パターン認識およびウエハ整合のために、または、ターゲットの格子要素を解像する必要がない他の測定のために使用可能である。図65および図66のように構成された本発明の装置340は、単一のターゲット位置で同時に、CD、オーバーレイ、および膜厚測定を行う機能を提供し、結果として、3つの測定が全て必要である製造用途について測定速度がほぼ3倍になる。CDおよび膜厚は、正および負の双方の回折次数の測定を必要としないが、正および負の回折次数の結果を平均しそれらの相違を計算して、測定精度を改善し監視することができる。従って、いくつかの用途では、オーバーレイとは別個にCDおよび膜厚の測定を行うことが好ましい場合がある。いずれにせよ、本発明の差動回折測定装置および方法によって、クラスタ・ターゲット・レイアウトにおいて高い柔軟性が可能となり、測定スループットおよび機能を最適化する。
【0124】
図72から図75に示すように、ウエハ450上にターゲット・パターンが存在しない場合、本発明の装置340の実施形態を従来のスペクトル膜厚測定に用いることができる。この場合、第2の検出器480(図74)で集光したゼロ次スペクトル5001および所定の膜特性(測定波長における膜の屈折率の実数成分および虚数成分)を用いて、当技術分野において既知のように、パターニングされていない積層膜451の膜厚を求める。ターゲット格子が存在しない場合、図73に示すように、第1の検出器位置460において集光される非ゼロ次回折は存在しない。任意に、装置340は、視認光学部品486を用いて構成可能であり、視認検出器CCD3 485を設けて、基板上のターゲット位置に対して照射を位置付けるのに必要な(例えばアライメント・マスク5002を用いた)リアルタイム視認およびアライメント(位置合わせ)を可能とする。図示のように、検出器485上に像を形成する光は、透過格子表面からの正反射である。あるいは、ゼロ次ビームの経路371に配置されたビーム・スプリッタ(図示せず)が、光を撮像システムに導くことができる。
【0125】
図76に示すように、(図65および図66の)回折測定装置340の好適な実施形態では、調節可能ミラー302、303を設けて、1次回折光線441、441’の方向(照射光線が正のx方向321からか、または負のx方向331からであるかに応じて)が、基板表面475に対してほぼ直角であり、回折光を第1の検出器アレイ460に確実に導くようにする。図65および図66に示す装置340において、中央波長λ0あるいはターゲット455の主ピッチPまたはそれら双方が変化すると、入射光線321、331および1次開設光線441、441’間の角度θは、数式(1)に応じて変化する。固定の1次回折方向θを維持するため、第1の検出器アレイ460を、基板表面475に対して直角な方向に位置付けることができ、従って、好ましくは装置340の下部ミラー302、303の高さhおよび傾斜角度ζ(垂直またはz方向に対して)は双方とも、図76に示す装置340の詳細に示す幾何学的な関係によって要求されるように調整する。
【数73】

ここで、r0は、第1の検出器アレイ460の好ましくは中央の水平位置からの、下部ミラー302、303の水平距離である。図5におけるように、角度Δθは、照射されたターゲットの波長分散を表す。同様の機能を与える本発明の装置340の多くの実施形態が可能であることに留意すべきである。これらの実施形態は、回転ミラーの代わりの多数の固定ビームの使用、ディザ・ミラー(dithered mirror)等の単一ビームを方向付ける異なる手段、ビームを適切に方向付けるための調節可能な光ファイバ・チャネル、光源および検出光学部品の代わりにターゲット中心の周りで基板を回転させることを含むが、これらには限定されない。
【0126】
図77は、本発明のターゲット設計プロセスの一実施形態の概要を表すフローチャートを示す。プロセスは開始する(ブロック5200)と、転写すべき対象の限界パターン寸法(例えば、半導体製造の場合は最小回路構造体サイズW0およびピッチp0)を有するターゲット設計パターンが提供される。異方性の回折測定集光および撮像対物レンズ413(図4(a)を参照)のために、選択された照射中央波長λ0および帯域幅±Δλ、および比較的低いNAx、NAyが与えられると、1次回折を他の全ての回折次数から独立して確実に検出可能であるように、本発明の格子ターゲット455の全体的な寸法を求める(ブロック5210)。例えば、格子領域(または副領域)の高さH(主周期Pの方向に直交する)は、好ましくは、約(0.7λ/NAy)よりも大きく、ここで、撮像方向における開口数NAyは、好ましくは約0.05と0.5との間であり、好ましくは、焦点深度、ターゲット・サイズ、および像の鋭さ間の妥協点として、約0.2である。格子の主周期Pは、好ましくはλ<P<(λ/NAx)であり、ここで、全スペクトルを集光するために必要な開口数NAx≧|n|Δλ/Pは、条件λ>|n|Δλを意味する。n=±1およびλ=2Δλでは、NAx〜_0.5が好適である。反復する要素の数Nは、好ましくは10以上である。ターゲット設計のこれ以上の詳細は、用途がCD測定用であるかオーバーレイ測定用であるかによって異なる(ブロック5225)。CDターゲットでは、要素寸法は、主に、ターゲット構造体限界寸法W0に基づいている(ブロック5240)。例えば、図26に示すようなCDターゲット1701の設計では、2つの格子副領域1731、1732があり、この内部で、要素1711、1712はそれぞれ公称幅Wd1、Wd2を有する。ここで、Wd1=W0+Δであり、Wd2=W0−Δであり、ここで、好ましくは、副格子ターゲット幅Wd1、Wd2間の差Δは、好ましくは、0.01W0≦Δ≦0.25W0であるように選択し、好ましくは0.1W0である。露光量および脱焦に対する感度を高めるために、格子要素(例えば図36におけるターゲット2500)は、主ピッチPの方向に対して直交する方向に沿って配されたサブ周期pfを有する副要素によって輪郭を描くことができる。ここで、p0≦pf≪Pである。図37(a)および図37(b)のターゲット格子2600等の副解像度支援構造体(SRAF:subresolution assist feature)を用いた格子では、SRAF間隔pSRAFは、好ましくは、λe/{(1+σe)NAe’}未満に制限し、ここで、パラメータλe、σe、NAeは、それぞれ、露光ツールの波長、コヒーレンス、および開口数を示す。図37(a)および図37(b)の露光ターゲット2600の副解像度ピッチpSRAFは、露光ツールの解像度よりも小さくなければならない。
【0127】
ターゲット・タイプがオーバーレイ測定用である場合(ブロック5230)、設計パラメータは、設計の限界寸法に対する依存度は低いが、回折測定システムの解像度すなわちλ、NAy、またはチップ上の利用可能な面積等の要因によって制約を受ける。このため、オーバーレイ・ターゲットの寸法は、主ピッチPに依存し、例えば図55に示すオーバーレイ・ターゲット4000では、Aプロセス要素とBプロセス要素D0(すなわち、水平方向のDxまたは垂直方向のDy)との間の公称間隔は、好ましくは、D0=0.5Pであり、D1=D0+Δ、およびD2=D0−Δであり、Δは、好ましくは、約0.01Pと0.25Pとの間である。オーバーレイ・ターゲットの個々の要素の幅WA、WBは、好ましくは、W0≦WA,B≦0.25Pである。
【0128】
本発明の装置(例えば、図46、図47、図65、図66、図72〜図75、図76に示すような)を用いて、ウエハ上で多数の測定サイトの多数測定を実行することができる。この概要を、図78〜図81に示すフローチャートに表す。かかる用途の一例は、図3に示すようなトラック・ツールまたはエッチング・ツール上の測定モジュール200であるが、本発明の統合型測定ツール200は、オフ・ライン用途35においても使用可能である。図78を参照すると、多数の測定サイトを有する基板が、ツールに搭載されて位置合わせされている(ブロック5300)。ウエハのための測定サイトの数およびタイプは、ツールに対する入力として供給することができる。あるいは、ターゲット間の意図的な差(例えばターゲット周期のオフセット)によって、測定ツールが実行中のターゲット・タイプを認識することができる。まず、ウエハがパターンを有するか否かのチェックを実行する(ブロック5310)。通常、ウエハがパターニングされている場合、ウエハ上の全てのパターンを、同様の一括の方法でアライメントし、従って、ウエハは通常、適切な平行移動および回転によって位置合わせされる(ブロック5320)。これは、例えば、図65および図66に示すもの等の視認検出器485(例えばCCD3)を用いることによって実行可能である。ウエハがパターニングされていない場合、アライメント・ステップは省くことができる(5312)。次に、第1の測定対象サイトを照明することができるように、ウエハを配置する(ブロック5330)。次いで、ターゲット・サイトのタイプによって、どの分析経路をとるかを決める(ブロック5340)。サイトにパターンが存在しない場合(5346)、ゼロ次測定値のみを取得する(経路5346)。そのサイトがパターニングされている場合、経路5348からブロック5350または5360に従うことによって非ゼロ次測定値を取得することができ、あるいは、経路5347に従うことによって、ゼロ次測定値を取得することができる。ゼロ次測定および分析は、本発明の回折測定ターゲットまたは従来の光波散乱計測ターゲットのいずれかを用いて実行可能であり(ブロック5345)、これについては、図79を参照して更に詳細に説明する。サイトが本発明に従ったCD回折測定ターゲットを含む場合、CD分析経路(ブロック5350)に従う。または、サイトが本発明のオーバーレイ回折測定ターゲットを含む場合、オーバーレイ分析経路(ブロック5360)に従う。これについては、それぞれ図80および図81を参照して更に詳細に説明する。全ての選択された測定サイトについて、同一のツールを用いて分析を継続することができる(ブロック5390)。全てのサイトを測定したら、ウエハを取り外し(ブロック5399)、または、トラックもしくはエッチング・ツールに沿って処理を継続する。
【0129】
図79を参照すると、選択した測定サイトにゼロ次測定が適切である場合(ブロック5345)、ターゲットが存在するか否かに応じて(ブロック5400)、従来の膜厚測定または光波散乱計測のいずれかを実行することができる。双方の場合において、取得した反射ゼロ次測定値の分析のため、膜特性(5403)を与える。ターゲットが存在しない場合、与えられた膜特性(5403)に関して、ゼロ次強度測定値を分析して(ブロック5401)、当技術分野において既知の方法を用いて、パラメータ化したスペクトル応答との比較によって膜厚を求める(5406)。ターゲット・サイトが従来の光波散乱計測ターゲットを含む場合、較正された信号ライブラリあるいはパラメータ化したRCWA(Rigorous Coupled Wave Analysis)またはその双方に従って(ブロック5404)、ゼロ次強度を分析することができる(ブロック5407)、CD、プロファイル、および膜厚の決定を行うことができる(ブロック5408)。測定対象の追加サイトがある場合、ウエハは、本発明のツールによって処理を継続することができる(ブロック5390)。
【0130】
サイトが本発明のCD回折測定ターゲットを含む場合、図78に示すように、ブロック5350から5370に進むことができる。図80において、ブロック5370を更に詳細に説明する。図80を参照すると、1次回折強度測定値を取得する(ブロック5501)。ターゲット副領域強度間のコントラストを、例えば、図26の領域1(1731)および領域2(1732)から取得し(ブロック5510)、x’方向に沿って平均または合計し、すなわち図27(c)のI(y’)とし、これを分析して平均CDを求めることができる。例えば、y方向に沿って連続的に変化する特徴的な寸法を有するターゲット(図9のテーパ要素ターゲット60におけるように)については数式(15)に従って、または、y方向に沿って離散的に変化する特徴的な寸法を有するターゲット(図26の多副領域1701におけるように)については数式(20a)および数式(20b)に従って、コントラストの変化に対するターゲットのモデル化CDの応答を得る(ブロック5512)。測定したコントラストを、モデル化応答に入力して(ブロック5513)、CDを得る(ブロック5514)。ターゲット・サイトが、露光量および脱焦またはエッチング・レートおよび等方性等のプロセス条件に対して敏感な副領域を含む場合、例えば米国特許番号第5,965,309号に記載されたAusschnittの方法によって、プロセス条件とCD変動との間の関係のモデルを用いることによって(ブロック5515)、結果として得られる平均CD測定値から(ブロック5516)、プロセス条件(ブロック5517)を得ることができる。
【0131】
あるいは、露光量および脱焦測定等のプロセス条件は、y’方向に沿って合計または平均した波長の関数すなわちI(x’)として、強度の分析によって得ることができる(ブロック5520)。例えば焦点−露光マトリクス(FEM:focus-exposure matrix)を用いて、またはシミュレーションによって、信号ライブラリ(5522)を実験的に与えることができる。信号ライブアリは、強度スペクトルと比較および照合して(ブロック5523)、露光量および脱焦またはエッチング・レートおよび等方性等のプロセス条件を導出することができる(ブロック5527)。転写された構造体の強度応答は、CDおよび側壁プロファイル等の特性の双方に依存するので、コントラスト測定(ブロック5514)から得られたCD値を、プロセス応答信号分析(5524)の結果に入力して、プロファイル測定値を導出することができる(ブロック5521)。ウエハ上の追加のサイトについて、本発明に従った測定を継続することができる(5390)。
【0132】
また、本発明の装置を用いて測定可能な非ゼロ次強度スペクトルが、例えば側壁角度、レジスト損失、基礎部分等のターゲット格子要素の全てのプロファイル特性に対して敏感であり、下にある積層膜に対して鈍感であることに留意することは重要である。シミュレーションした、または実験的なプロセス・マトリクス信号ライブラリを用いて、これらのプロファイル詳細を明らかにすると共に、測定スペクトルに対応したプロセス条件を正確に特定することができる。本発明の差動回折測定手法は、従来の光波散乱計測に比べて、3つの利点を有する。
【0133】
1.上述の露光量および焦点の感度によって立証されたように、プロファイルの変化に対する感度が高い。下にある積層膜に対する鈍感さによって、プロファイル特性を求める際の信号対雑音比を改善する。
2.本発明の差動技法によって独立して求められる平均CDに対してプロファイル測定を行うことができ、これによって、信号対雑音比を更に高くする(図80のブロック5524)。これは、図80のプロファイル決定経路にCDを結び付ける矢印5525によって概略的に示されている。
3.下にある積層膜に対する鈍感さによって、リソグラフィにおける焦点−露光マトリクスおよびエッチングにおけるレート−等方性マトリクスを用いたスペクトル変動の決定により、シミュレーションした、または実験的なライブラリの発生をはるかに効率的に行うことができる。このため、プロセス制御用途について、スペクトルおよびプロセス設定間に直接的な関係が確立される。これは、図80のスペクトルおよび信号ライブラリ5522からプロセス設定5527までの経路5519によって概略的に示される。
【0134】
図81を参照すると、オーバーレイ・ターゲットの場合(ブロック5360)、オーバーレイ分析(ブロック5380)は、以下のステップを含む。オーバーレイを測定するため、4つの異なる方位からの強度測定値が必要である(ブロック5538)。測定強度を、オーバーレイ・ターゲットのモデル化応答(ブロック5539)と比較する(ブロック5540)。これは、例えば、y方向に沿って連続的に変化する特徴的な寸法を有するターゲット(図51のターゲット3600等)については数式(35a)および数式(35b)に記載されるように、または、y方向に沿って離散的に変化する特徴的な寸法を有するオーバーレイ・ターゲット(図33のターゲット2300等)については数式(40)に記載されるように行う。分析に最良の信号対雑音比を利用可能であることを確実とするために、γ>γthresholdができる限り大きくなるように選択されるように、波長範囲を選択することができる(連続的に変化する特徴的な寸法のターゲットについては数式(35)に記載するように、または、離散的に変化する特徴的な寸法のターゲットについては数式(42)に記載するように)。ターゲットが離散的に変化するタイプである場合(ブロック5543)、Ψ±の位相変換分析を行って(図60〜図62におけるように)、物理的に意味のあるオーバーレイ・エラーの選択を可能とすることが必要である。次いで、連続的に変化する特徴的なターゲット寸法については数式(35)に記載するように、または、離散的に変化する特徴的なターゲット寸法については数式(42)に記載するように、選択波長において求めたオーバーレイ・エラーの平均値として、オーバーレイ・エラーを計算することができる(ブロック5545)。もっと多くの測定サイトが残っている場合、全ての選択サイトを処理するまで、測定プロセスは継続することができる(ブロック5390)。
【0135】
この方法は、画像プロセッサ490(図4(a))等のコンピュータ・システム上で実行するための、コンピュータ読み取り可能ストレージ媒体上での実施に適している。そのコピュータ・システムは、中央演算処理装置、入力/出力(I/O)デバイス、およびストレージ・デバイスを有し、それらが、図4(a)に示すように、この方法を実行し、データを受信し、本発明の装置を制御するための命令を実行することができる。
【0136】
CDおよびオーバーレイ測定、ならびに露光量および焦点制御のための現在の顕微鏡検査手法に対する、上述の本発明によって実現される利点は、精度および速度の面で優れた、より簡単でロバストな測定装置を含む。本発明の差動オーバーレイおよびCD測定手法は、検出強度の相対的な大きさのみを用いて、既知のターゲット周期に対するその場の(in situ)測定の較正を与え、これによって、従来の測定ツール間のTIS、WIS、およびマッチング・エラーの主な源を排除する。更に、本発明は、CDまたはプロファイル測定のための光波散乱計測が必要とする面倒なセットアップ手順を必要とすることなく、露光量および焦点の監視および制御の双方を可能とする。
【0137】
本発明に従った方法、および様々なレイアウトに対する適用は、上述の実施形態に限定されないことは、当業者には認められよう。従って、本発明は、本発明の範囲および精神ならびに特許請求の範囲内に該当する全てのそのような代替、変更、および変形を包含することが意図される。
【産業上の利用可能性】
【0138】
本発明の方法は、集積回路のフォトリソグラフィ処理において有用であり、処理される各ウエハごとに正確な露光およびエッチング条件が用いられることを保証することによって、ウエハ設計寸法を取得し、限界寸法を制御するために、特に有用である。
【図面の簡単な説明】
【0139】
【図1】リソグラフィ、エッチング、および様々な測定ツールから成る半導体パターニング・システムの典型的な構成要素を示す。
【図2】光波散乱計測(SCM)ツールを用いた結果として複雑さが増した半導体パターニング・システムを示す。
【図3】本発明の統合型測定(IM)装置を用いた結果得られる半導体パターニング・システムを示す。
【図4】本発明の装置の一実施形態の垂直側面図である。
【図5】図4(a)の装置の図の更に詳細な図である。
【図6】図4(b)の装置の図の更に詳細な図である。
【図7】格子ターゲットの二次元反射率の図である。
【図8】図7の格子要素の幅の関数として、結果として得られる1次強度を示す。
【図9】テーパ格子要素から成る格子ターゲットの上面図である。
【図10】図4(a)から図6の装置のCCDアレイ上のテーパ格子ターゲットの1次回折強度の像であり、単色照射について、画像を(a)に、ターゲット周期に平行な方向で合計した強度を(b)に、ターゲット周期に垂直な方向で合計した強度を(c)に、多波長照射の場合について、画像を(d)に、 ターゲット周期に平行な方向で合計した強度を(e)に、ターゲット周期に垂直な方向で合計した強度を(f)に示す。
【図11】格子要素が逆方向のテーパ形状を有し共通の周期を有する2つの領域に分割された格子ターゲットを示す。
【図12】各領域対の逆方向のテーパ格子要素が逆方向のトーンを有し共通の周期を有する4つの領域に分割された格子ターゲットを示す。
【図13】テーパおよびトーン逆転の詳細を示す。
【図14】テーパおよびトーン逆転の詳細を示す。
【図15】シミュレーションした結果に対応する物理的ターゲットを示す上面図を(a)に、基板およびターゲットの断面図を(b)に、基板およびターゲットの断面図を(c)に示す。
【図16】図15(a)から図15(c)のターゲットの輪郭を描く空間および形状端部のシミュレーションした外形を示す。
【図17】ターゲット周期に垂直な方向におけるシミュレーションした波長平均強度分布を示す。
【図18】図12〜図14におけるターゲットのシミュレーションした露光量応答を示す。
【図19】図12〜図14におけるターゲットのシミュレーションした焦点応答を示す。
【図20】波長および酸化物の厚さの関数としてゼロ次回折効率のシミュレーションした応答を示す。
【図21】波長および酸化物の厚さの関数として1次回折効率のシミュレーションした応答を示す。
【図22】波長および露光量の関数としてゼロ次回折効率のシミュレーションした応答を示す。
【図23】波長および露光量の関数として1次回折効率のシミュレーションした応答を示す。
【図24】設計格子要素幅において、波長および焦点の関数として1次回折効率のシミュレーションした応答を示す。
【図25】設計格子要素幅において、波長および焦点の関数として1次回折効率のシミュレーションした応答を示す。
【図26】格子要素が異なる幅を有し共通の周期を有する2つの領域に分割された格子ターゲットを示す。
【図27】2つの格子領域の1次回折強度の像を示す。
【図28】平均幅から30%異なる幅を有する2つの格子の1次回折強度のグラフを示す。
【図29】数式(20a)〜数式(20b)に対する「+」解から計算した幅が公称幅と同一であることを示すグラフである。
【図30】公称からの2つの幅の小数部の逸脱βの異なる値について公称幅の関数として測定可能コントラストの二乗のグラフである。
【図31】公称幅の全範囲にわたる測定可能コントラストに対する幅の感度のグラフである。
【図32】最大の対象範囲にわたる測定可能コントラストに対する幅の感度のグラフである。
【図33】4つの領域から成るデュアル・トーン線幅ターゲットを示し、そのうち2つは異なる幅の分離線形状を含み、他の2つは異なる幅の分離線空間を含む。
【図34】露光量および焦点に対する図33のターゲットのシミュレーションした応答のグラフである。
【図35】露光量および焦点に対する図33のターゲットのシミュレーションした応答のグラフである。
【図36】4つの領域から成るデュアル・トーン線長ターゲットを示し、そのうち2つでは、分離線形状が異なる長さの周期的直交線空間の端部によって画定され、他の2つは、異なる長さの周期的直交線形状の端部によって画定されている。
【図37】露光量および焦点の双方に対する応答を別個に高めるターゲットを示す。露光量ターゲットは、マスク上の副解像度支援構造体を用いて、基板上で露光量感度を高め、焦点感度を抑える。焦点ターゲットは、テーパ線端部を用いて、線端短縮の焦点感度を高める。
【図38】図37(a)および図37(b)の露光量および焦点ターゲットのための露光量応答のグラフである。
【図39】図37(a)および図37(b)の露光量および焦点ターゲットのための焦点応答のグラフである。
【図40】高密度コンタクト・ホールから成る差動格子ターゲット・レイアウトを示す。
【図41】格子周期に垂直に配置された高密度平行線から成る差動格子ターゲット・レイアウトを示す。
【図42】図26に示したタイプの多数ターゲットからなるターゲットを異なる周期で示す。
【図43】図42に示したターゲットのための本発明の装置における光線を示す。
【図44】図42および図43のターゲットおよび装置に対応する検出強度を示す。
【図45】図26のターゲット・レイアウトのゼロ次検出強度を示す。
【図46】負の1次回折を撮像するための装置構成を示す。
【図47】正の1次回折を撮像するための装置構成を示す。
【図48】オーバーレイ測定に適した2レベル格子ターゲットの二次元反射率を示す。
【図49】相対的な振幅γおよび位相φの様々な条件について、格子要素の相対位置に対する、結果として得られる1次強度の依存度のグラフである。
【図50】相対的な振幅γおよび位相φの様々な条件について、格子要素の相対位置に対する、結果として得られる1次強度の依存度のグラフである。
【図51】逆方向に傾いた格子要素から成る2レベル格子ターゲットである。
【図52】本発明の装置の検出器アレイ上での2レベル傾斜格子ターゲットの正および負の1次回折強度の代表的な単色画像を示す。
【図53】本発明の装置の検出器アレイ上での2レベル傾斜格子ターゲットの正および負の1次回折強度の代表的な多波長画像を示す。
【図54】逆方向に傾斜した格子ターゲット対から成る2レベル「砂時計形」ターゲットを示す。
【図55】Bプロセス・レベルでの格子要素がAプロセス・レベルでの格子要素に対して異なる位置を有する3つの領域に分割された2レベル格子ターゲットを示す。
【図56】図55のターゲットに対応する3つの格子領域の正の1次回折強度の画像を示す。
【図57】Δ=P/4の場合の2レベル間の様々な相対反射率位相条件のもとでの、オーバーレイ・エラーの関数としての3つの領域の正および負の1次回折強度のグラフである。
【図58】Δ=P/4の場合の2レベル間の様々な相対反射率位相条件のもとでの、オーバーレイ・エラーの関数としての3つの領域の正および負の1次回折強度のグラフである。
【図59】Δ=P/4の場合の2レベル間の様々な相対反射率位相条件のもとでの、オーバーレイ・エラーの関数としての3つの領域の正および負の1次回折強度のグラフである。
【図60】φ=(0、π)およびn=±1次が同一である場合のΨの変換を決定するフローチャートである。
【図61】ΔC≠0の場合の正の回折次Ψ+の変換を決定するフローチャートである。
【図62】ΔC≠0の場合の負の回折次Ψ-の変換を決定するフローチャートである。
【図63】全ての許容されるγおよびφの値について、オーバーレイ・エラーのいずれかの入力値εinに対する計算オーバーレイ応答εCのグラフであり、許容されるダイナミック・レンジにおいてεin=εCであることを示す。
【図64】計算εCの測定可能成分κ、ηの変化に対するオーバーレイ・エラーεxの感度のグラフである。
【図65】共通の光源および検出器を用いた正および負の1次回折強度の高速順次測定を可能とする装置を示す。
【図66】共通の光源および検出器を用いた正および負の1次回折強度の高速順次測定を可能とする装置を示す。
【図67】xおよびy配置のCD、2対レベル間のxおよびyオーバオレイ・エラー、およびパターニングされていない領域における膜厚を測定するための、組み合わせCD、オーバーレイ、および膜厚ターゲットを示す。
【図68】図67に示したターゲットの順次検出した正および負の1次強度、一定の検出されたゼロ次強度、ならびにパターニング認識およびアライメントに用いるターゲット像を示す。
【図69】図67に示したターゲットの順次検出した正および負の1次強度、一定の検出されたゼロ次強度、ならびにパターニング認識およびアライメントに用いるターゲット像を示す。
【図70】図67に示したターゲットの順次検出した正および負の1次強度、一定の検出されたゼロ次強度、ならびにパターニング認識およびアライメントに用いるターゲット像を示す。
【図71】図67に示したターゲットの順次検出した正および負の1次強度、一定の検出されたゼロ次強度、ならびにパターニング認識およびアライメントに用いるターゲット像を示す。
【図72】装置340を膜厚測定に用いる一実施形態を示す。
【図73】第1の検出器460で集光したスペクトルを示す。
【図74】第2の検出器480で集光したスペクトルを示す。
【図75】第3の検出器485上の像(透過格子表面からの正反射)を示す。
【図76】中心波長が変化した場合にゼロ次および非ゼロ次の双方の回折エネルギの同時検出可能性を保証するために、装置の入射ビームと反射ビームとの間に維持してなければならない幾何学的関係の詳細図である。
【図77】本発明のターゲット設計プロセスのフローチャートである。
【図78】本発明の装置によって可能な測定の測定モデルのフローチャートである。
【図79】本発明の装置を用いる場合のゼロ次測定分析のフローチャートである。
【図80】本発明の測定方法および装置に基づいた、CD、露光量、焦点、およびオーバーレイ決定のための本発明のデータ分析のフローチャートである。
【図81】本発明の測定方法および装置に基づいた、CD、露光量、焦点、およびオーバーレイ決定のための本発明のデータ分析のフローチャートである。

【特許請求の範囲】
【請求項1】
基板上の寸法を測定する方法であって、
主方向に主周期ピッチPを有する構造体アレイを含む公称パターンを設けるステップであって、前記公称パターンが前記主方向に沿った前記主周期ピッチPで反復する公称特徴寸法によって特徴付けられ、前記公称特徴寸法が前記主方向に実質的に直交する方向に沿って所定の変動を有する、ステップと、
前記公称パターンに対応して前記基板上にターゲット・パターンを形成するステップであって、前記ターゲット・パターンが前記公称特徴寸法に対応した基板特徴寸法を有する、ステップと、
前記ターゲット・パターンを少なくとも1つの波長によって特徴付けられる放射によって照射して、前記ターゲット・パターンから回折放射を生成するステップと、
前記公称特徴寸法に対する前記基板特徴寸法の寸法差に応答して、1つ以上の非ゼロ次回折の前記実質的に直交する方向に沿った検出された変動と対象の寸法との間の関係を与えるステップと、
前記実質的に直交する方向に沿った前記1つ以上の非ゼロ次回折の前記変動を検出するステップと、
前記1つ以上の非ゼロ次回折の前記検出した変動に基づいて、前記関係に従って前記対象の寸法を求めるステップと、
を含む、方法。
【請求項2】
前記照射が2つ以上の波長を含み、前記主方向に沿って前記非ゼロ次回折の変動を検出するステップを更に含む、請求項1に記載の方法。
【請求項3】
前記ターゲットの寸法が限界寸法を含む、請求項1に記載の方法。
【請求項4】
前記検出された変動が前記1つ以上の非ゼロ次回折の強度の変動を含む、請求項1から3のいずれかに記載の方法。
【請求項5】
前記公称パターンが、公称長および幅によって特徴付けられる第1のトーンのサブパターン構造体を含む第1のサブパターンと、前記公称長および幅を有する相補的トーンの構造体を含む第2のサブパターンと、を含み、前記公称長および幅に対する対応ターゲット・サブパターン構造体長および幅の寸法差に基づいて、公称プロセス条件からのプロセス条件の変動を求めるステップを更に含む、請求項1、2、または12に記載の方法。
【請求項6】
前記ターゲット・パターンが、前記公称ターゲット・パターンの第1の部分に対応する、前記基板の第1の層上に形成された第1のターゲット部分と、前記公称ターゲット・パターンの第2の部分に対応する、前記基板の第2の層上に形成された第2のターゲット部分と、を含み、前記対象の寸法が、前記公称パターンに比較した前記第2のターゲット部分に対する前記第1のターゲット部分のオフセットを含む、請求項1に記載の方法。
【請求項7】
前記検出された変動が、前記1つ以上の非ゼロ次回折の強度および位相の変動を含む、請求項6に記載の方法。
【請求項8】
前記ターゲット・パターンを照射するための放射源と、
1つ以上の非ゼロ次回折の前記変動を検出するための検出器と、
前記基板を固定するための手段と、
前記放射源、前記基板、および前記検出器を位置付けて、前記放射源が前記ターゲット・パターンを照射し、前記検出器が前記ターゲット・パターンからの回折した前記放射の1つ以上の非ゼロ次の前記変動を検出するようにするための手段と、
を含む、請求項1、2、3、6、7、12、13または14のいずれかに記載の方法を実行するための装置。
【請求項9】
前記ターゲット・パターンからの回折した前記放射のゼロ次回折を検出するための第2の検出器と、
前記放射源および前記基板に対して前記第2の検出器を位置付けて前記第2の検出器が前記ゼロ次回折を検出するようにするための手段と、
前記検出したゼロ次回折に基づいて第2の対象の寸法を求めるための手段と、
を更に含む、請求項8に記載の装置。
【請求項10】
前記装置が、半導体製造のためのイン・ライン処理用に構成されている、請求項8に記載の装置。
【請求項11】
前記1つ以上の非ゼロ次回折の前記変動に基づいて、公称プロセス条件からのプロセス条件の変動を求めるための手段と、
プロセス条件における前記求めた変動に応答して、以降のプロセス条件において調整を行うための手段と、
を更に含む、請求項9に記載の装置。
【請求項12】
前記ターゲットの寸法が限界寸法を含む、請求項2に記載の方法。
【請求項13】
前記ターゲット・パターンが、前記公称ターゲット・パターンの第1の部分に対応する、前記基板の第1の層上に形成された第1のターゲット部分と、前記公称ターゲット・パターンの第2の部分に対応する、前記基板の第2の層上に形成された第2のターゲット部分と、を含み、前記対象の寸法が、前記公称パターンに比較した前記第2のターゲット部分に対する前記第1のターゲット部分のオフセットを含む、請求項2に記載の方法。
【請求項14】
前記検出された変動が、前記1つ以上の非ゼロ次回折の強度および位相の変動を含む、請求項13に記載の方法。
【請求項15】
前記装置が、半導体製造のためのイン・ライン処理用に構成されている、請求項9に記載の装置。
【請求項16】
前記1つ以上の非ゼロ次回折の前記変動に基づいて、公称プロセス条件からのプロセス条件の変動を求めるための手段と、
プロセス条件における前記求めた変動に応答して、以降のプロセス条件において調整を行うための手段と、
を更に含む、請求項15に記載の装置。
【請求項17】
基板上の寸法を測定する方法であって、
基板上にターゲット・パターンを設けるステップであって、前記ターゲット・パターンが公称パターンに対応し、前記公称パターンが、主方向の主周期ピッチPによって特徴付けられる構造体アレイを含み、前記公称パターンが、前記主方向に対して実質的に直交する方向に沿って画定される特徴寸法によって更に特徴付けられ、前記ターゲット・パターンが前記公称パターンの前記特徴寸法に対応した基板特徴寸法を有する、ステップと、
前記ターゲット・パターンを少なくとも1つの波長によって特徴付けられる放射によって照射して、前記ターゲット・パターンから回折放射を生成するステップと、
前記主方向に対して前記実質的に直交する方向に沿った前記回折放射の1つ以上の非ゼロ次回折の測定可能量の関数として、前記ターゲット・パターンにおける前記主方向に沿った対象の寸法を求めるための関係を与え、前記1つ以上の非ゼロ次回折の前記測定可能量が前記ターゲット・パターンにおいて前記基板特徴寸法に応答して生じる、ステップと、
前記回折放射の前記1つ以上の非ゼロ次回折の前記測定可能量を検出するステップと、
前記回折放射の前記1つ以上の非ゼロ次回折の前記検出した測定可能量に基づいて、前記関係に従って前記対象の寸法を求めるステップと、
を含む、方法。
【請求項18】
前記対象の寸法が、前記ターゲット・パターンにおける前記構造体アレイを含む前記構造体の構造体幅を含む、請求項17に記載の方法。
【請求項19】
前記公称パターンの前記特徴寸法が、前記実質的に直交する方向に沿って連続的に変動する前記アレイの前記構造体の幅を含む、請求項18に記載の方法。
【請求項20】
前記特徴寸法が、前記実質的に直交する方向に沿って所定のテーパ角度に従って連続的に変動する、請求項19に記載の方法。
【請求項21】
前記公称パターンの前記特徴寸法が、前記実質的に直交する方向に沿って離散的に変動する前記アレイの前記構造体の幅を含む、請求項18に記載の方法。
【請求項22】
前記測定可能量が、前記実質的に直交する方向に沿った前記1つ以上の非ゼロ次回折の強度の極値の位置を含む、請求項18に記載の方法。
【請求項23】
前記公称パターンが、第1の中央位置を有する第1の領域および前記第1の領域に隣接して配置された第2の領域を含み、前記第2の領域が、前記実質的に直交する方向に沿って前記第1の中央位置から所定の距離にある第2の中央位置を有し、前記ターゲット・パターンが前記基板上に対応する第1および第2の領域を有し、前記測定可能量が、前記ターゲット・パターンの前記第1の領域からの前記1つ以上の非ゼロ次回折における極値の位置と、前記ターゲット・パターンの前記第2の領域からの前記1つ以上の非ゼロ次における極値の位置との間の距離を含む、請求項18に記載の方法。
【請求項24】
前記測定可能量が前記主方向に沿った前記1つ以上の非ゼロ次回折の強度を含む、請求項17に記載の方法。
【請求項25】
前記ターゲット・パターンが、第1のトーンの構造体を有する第1の領域と、前記第1のトーンとは異なる第2のトーンの構造体を有する第2の領域と、を含み、前記第1の領域から得た測定値を前記第2の領域から得た測定値と比較してプロセス条件の効果を決めるステップを更に含む、請求項17に記載の方法。
【請求項26】
前記プロセス条件が、露光量、焦点、またはそれらの組み合わせから成る群から選択される、請求項25に記載の方法。
【請求項27】
前記公称パターンが、第1の構造体の第1のサブアレイおよび第2の構造体の第2のサブアレイを含み、各サブアレイがピッチPによって特徴付けられ、前記第1の構造体が前記第2の構造体から前記主方向に沿って所定のオフセットで配置されるように前記第1のサブアレイおよび前記第2のサブアレイを位置付け、前記ターゲット・パターンが第1の反射率を有する前記第1のサブアレイに対応する第1のターゲット・サブアレイを含み、前記ターゲット・パターンが、第2の反射率を有する前記第2のサブアレイに対応する第2のターゲット・サブアレイを更に含み、前記第1および第2のターゲット・サブアレイが、前記所定のオフセットに対応する前記基板上のオフセットによって特徴付けられ、前記対象の寸法が、前記基板上の前記オフセットと前記所定のオフセットとの間の差を含む、請求項17に記載の方法。
【請求項28】
前記1つ以上の非ゼロ次回折が、前記回折放射の正の非ゼロ次回折および対応する負の非ゼロ次回折を含む、請求項27に記載の方法。
【請求項29】
前記測定可能量が前記1つ以上の非ゼロ次回折の強度を含み、前記関係が、前記第1のターゲット・サブアレイの前記第1の反射率と前記第2のターゲット・サブアレイの前記第2の反射率との間の有効振幅および有効位相差を含む、請求項28に記載の方法。
【請求項30】
前記所定のオフセットが前記実質的に直交する方向に沿って連続的に変動する、請求項27に記載の方法。
【請求項31】
前記所定のオフセットが前記実質的に直交する方向に沿って離散的に変動する、請求項27に記載の方法。
【請求項32】
前記ターゲット・パターンを照射するための放射源と、
前記主方向に沿って前記1つ以上の非ゼロ次回折を集光し、前記実質的に直交する方向に沿って前記ターゲット・パターンを撮像するように構成された集光部材と、
前記実質的に直交する方向に沿って、および前記主方向に沿って、前記集光部材からの前記1つ以上の非ゼロ次の空間変動を検出するように構成された検出器アレイと、
を含む、請求項17から31のいずれかに記載された方法を実行するための装置。
【請求項33】
コンピュータ読み取り可能ストレージ媒体を含むコンピュータ・システムを更に含み、前記コンピュータ読み取り可能ストレージ媒体が、前記回折放射の前記1つ以上の非ゼロ次の前記検出された測定可能量に基づいて、前記コンピュータ・システムに、前記関係に従って前記対象の寸法を求めさせるための命令を含む、請求項32に記載の装置。
【請求項34】
前記基板からの回折したゼロ次放射を検出するための第2の検出器を設けるように更に構成され、前記ゼロ次放射から膜厚を求めるための手段を更に含む、請求項32に記載の装置。
【請求項35】
前記装置が、半導体製造のためのイン・ライン処理用に構成されている、請求項32に記載の装置。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

image rotate

image rotate

image rotate

image rotate

【図6】
image rotate

image rotate

【図8】
image rotate

image rotate

image rotate

image rotate

【図12】
image rotate

image rotate

image rotate

image rotate

image rotate

【図17】
image rotate

image rotate

【図19】
image rotate

【図20】
image rotate

【図21】
image rotate

image rotate

【図23】
image rotate

image rotate

【図25】
image rotate

image rotate

image rotate

【図28】
image rotate

【図29】
image rotate

【図30】
image rotate

【図31】
image rotate

image rotate

image rotate

image rotate

image rotate

【図36】
image rotate

image rotate

image rotate

【図39】
image rotate

【図40】
image rotate

image rotate

image rotate

【図43】
image rotate

【図44】
image rotate

【図45】
image rotate

image rotate

image rotate

【図48】
image rotate

image rotate

image rotate

【図51】
image rotate

【図52】
image rotate

【図53】
image rotate

【図54】
image rotate

image rotate


【公表番号】特表2007−522432(P2007−522432A)
【公表日】平成19年8月9日(2007.8.9)
【国際特許分類】
【出願番号】特願2005−513787(P2005−513787)
【出願日】平成15年12月19日(2003.12.19)
【国際出願番号】PCT/US2003/041438
【国際公開番号】WO2005/069082
【国際公開日】平成17年7月28日(2005.7.28)
【出願人】(390009531)インターナショナル・ビジネス・マシーンズ・コーポレーション (4,084)
【氏名又は名称原語表記】INTERNATIONAL BUSINESS MASCHINES CORPORATION
【Fターム(参考)】