説明

BSP除去方法、BSP除去装置、基板処理装置、及び記憶媒体

【課題】BSPをより確実に除去することが可能なBSP除去方法を提供すること。
【解決手段】被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去するBSP除去方法であって、多層BSPを機械的に破壊する第1工程(ステップ2)と、機械的に破壊された多層BSPの残渣を加熱する第2工程(ステップ3)と、を具備する。

【発明の詳細な説明】
【技術分野】
【0001】
この発明は、半導体ウエハ等の被処理基板のベベル面や裏面に付着するベベル/バックサイドポリマー(BSP)を除去する除去方法、BSP除去装置、このBSP除去装置を備えた基板処理装置、及びこの基板処理装置又は上記BSP除去装置を動作させるためのレシピを格納した記憶媒体に関する。
【背景技術】
【0002】
半導体集積回路の製造においては、半導体ウエハ等の被処理基板に対して成膜処理やエッチング処理を施す。これらの処理の中にはプラズマを用いて行われるものがある。プラズマ中にはラジカルやイオンが発生する。現在、これらラジカルやイオンが被処理基板のベベル面や裏面に回り込み、ベベル面及び裏面上に付着し、堆積層を形成している。この堆積層を形成する付着物を、本明細書ではベベル/バックサイドポリマー(Bevel/Backside Polymer、以下BSPという)と呼ぶ。BSPは、被処理基板をエッチングするエッチング処理中に、特に、多く付着する。
【0003】
今日まで、BSPが半導体集積回路の製造に与える影響が小さかったため、BSP自体が無視されていた。しかし、近時、半導体集積回路の製造において、BSPが関与している、と推測される影響が出始めている。
【0004】
なお、BSPを除去したり、BSPによる影響を解消しようとしたりする技術ではないが、ポリマー除去やゴミ除去の公知例としては、次の3件がある。
【0005】
特許文献1:半導体ウエハの接着前表面処理を開示し、半導体ウエハの接着強度を高めるために、ドライ、ウエット、研磨、プラズマ、ブラッシングを用いたポリマー除去が記載されている。
【0006】
特許文献2:半導体装置の製造方法を開示し、シリコン基板に含まれるOSF(Oxidation induced Stacking Fault)の重金属による汚染を抑え、接合リーク電流を低減するために、レーザーによる熱印加を用いたウエット式のポリマー除去が記載されている。
【0007】
特許文献3:半導体装置の製造方法を開示し、半導体ウエハ裏面のゴミを除去するために、半導体ウエハ裏面をブラッシングした後、ゴミを吸引してゴミを除去する方法が記載されている。
【特許文献1】特開2005−142524号公報
【特許文献2】特開2006−032653号公報
【特許文献3】特開2001−332606号公報
【発明の開示】
【発明が解決しようとする課題】
【0008】
この発明は、BSPをより確実に除去することが可能なBSP除去方法、このBSP除去方法を用いたBSP除去装置、このBSP除去装置を組み込んだ基板処理装置、及びこの基板処理装置又は上記BSP除去装置を動作させるためのレシピを格納した記憶媒体を提供することを目的とする。
【課題を解決するための手段】
【0009】
上記課題を解決するために、この発明の第1の態様に係るBSP除去方法は、被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去するBSP除去方法であって、前記多層BSPを機械的に破壊する第1工程と、前記機械的に破壊された多層BSPの残渣を加熱する第2工程と、を具備する。
【0010】
この発明の第2の態様に係るBSP除去装置は、被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去するBSP除去装置であって、前記被処理基板の周縁部をオーバーハングさせた状態で保持する被処理基板保持機構と、前記被処理基板保持機構を回転させる回転機構と、前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを機械的に破壊する破壊機構と、前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを加熱する加熱機構と、を具備する。
【0011】
この発明の第3の態様に係る基板処理装置は、被処理基板を搬入、又は搬出する搬入出室と、前記搬入出室に設けられた、前記被処理基板を格納可能な搬送容器が取り付けられ、この取り付けられた搬送容器を前記搬入出室と連通可能とするポートと、前記被処理基板に処理を施す複数の処理ユニットと、前記複数の処理ユニットそれぞれに連通可能な搬送室と、前記搬入出室と前記搬送室とを接続するロードロック室と、前記搬送室内に設けられ、前記ロードロック室及び前記複数の処理ユニットのそれぞれに対して前記被処理基板の搬送が可能な第1の搬送機構と、前記被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去する前記搬入出室に連通可能なBSP除去ユニットと、前記搬入出室内に設けられ、前記搬送容器、前記ロードロック室、及び前記BSP除去ユニットのそれぞれに対して前記被処理基板の搬送が可能な第2の搬送機構と、を具備する。
【0012】
この発明の第4の態様に係る記憶媒体は、コンピュータ上で動作し、ベベル/バックサイドポリマー(BSP)除去を制御するプログラムが記憶された記憶媒体であって、
前記プログラムは、実行時に、第1の態様に係るBSP除去方法が行われるように、コンピュータにBSP除去装置を制御させる。
【発明の効果】
【0013】
この発明によれば、BSPをより確実に除去することが可能なBSP除去方法、このBSP除去方法を用いたBSP除去装置、このBSP除去装置を組み込んだ基板処理装置、及びこの基板処理装置又は上記BSP除去装置を動作させるためのレシピを格納した記憶媒体を提供できる。
【発明を実施するための最良の形態】
【0014】
実施の形態の説明に先立ち、BSPが半導体集積回路の製造に与える影響と、近時の半導体集積回路におけるBSPの実態とを簡単に説明しておく。なお、これは、本件出願の発明者らの研究に基づいて知られたものである。
【0015】
BSPは、被処理基板、例えば、半導体ウエハ(以下ウエハという)を処理しているときに、ウエハのベベル面や裏面に付着する。付着する原因の一つは、プラズマ中のイオンやラジカルが、ウエハのベベル面や裏面に回り込むことにある。図15Aに、プロセスチャンバ内におけるウエハの載置状態を示す。
【0016】
図15Aに示すように、ウエハは、このウエハの縁をステージ(静電チャック)の外に出した状態でステージ上に載置される。ウエハの縁の周囲には、載置位置を決めるためのフォーカスリングが配置されるが、フォーカスリングとウエハとの間には、微小なクリアランスがある。このクリアランスを介してイオンやラジカルが、ウエハのベベル面や裏面に回り込んで付着する。これがBSPである。イオンやラジカルの例としては、例えば、低誘電率絶縁膜(Low−k膜)をエッチングしているときに、プラズマ中に発生したフッ化カーボン系のイオンやラジカル(これらを、以下CFと記載する)が挙げられる。CFが付着すると、ウエハのベベル面から裏面にかけて有機層が形成される。
【0017】
BSPが関与すると推測される影響には、例えば、以下のようなものが挙げられる。
【0018】
(1) BSPが付着したウエハを、搬送のために搬送容器(フープ(FOUP:Front Opening Unified Pod))に収容すると、図15Bに示すように、BSPがフープの支持部とこすれ、発塵する。塵は落下して、下方に収容されているウエハの表面に付着する。表面に付着した塵は、後々に欠陥を誘発させる要因となる。
【0019】
(2) BSPが付着したウエハを、加熱のためにステージヒーター上に載置すると、図15Cに示すように、BSPがヒーターのステージ面に転写されてしまう。BSPがステージ面に転写されると、後々にステージ面が焼け付く。
【0020】
(3) BSPが付着したウエハを、洗浄のために洗浄槽に浸すと、図15Dに示すように、BSPの成分(例えば、カーボン(C)やフッ素(F))が洗浄液中に溶け出し、洗浄液が汚染される。洗浄液は頻繁に交換しなければならなくなる。
【0021】
そこで、本件出願の発明者らは、BSPを除去し、BSPが関与する、半導体集積回路の製造に及ぼす影響を軽減させることを目指した。
【0022】
BSPは、プラズマエッチング後に、特に、多く発生する。よって、プラズマエッチング後に除去するのが良い。
【0023】
しかしながら、配線形成工程を中心としたウエハプロセスの後半部(BEOL:Back−End on Line)におけるBSPの除去を考慮すると、ウエット処理、特に、強い薬液の使用が困難である。強い薬液が、配線としてのメタル材料や層間絶縁膜としてのLow−k材料に影響を与えることが懸念されるからである。
【0024】
そこで、イオンなどの荷電粒子を、ウエハのベベル面から裏面にかけて局所的にぶつけてBSPを叩き出す、いわゆるスパッタ処理を検討した。しかし、BSPは、ウエハの縁から5mm程度までの狭い領域に多く付着する。この領域はベベル面にかかっており、大変にもろい。BSP自体も大変に薄いため、荷電粒子がBSPを貫通してウエハに達し、ウエハ自体を壊す可能性があり、形状保証が困難であった。
【0025】
そこで、処理ガスを用いた化学反応処理によるBSPの除去を試みた。この試みを参考例とする。参考例では、反応を、ウエハの縁から5mm程度までの狭い領域に、局所的に促進させるために、局所加熱を取り入れた。局所加熱の手法の一例はレーザーである。しかしながら、この試みにおいても、BSPは完全に除去しきれなかった。完全に除去しきれなかった原因の一つとして、現状のBSPが、例えば、CFが付着してできるような単純な有機層ではなかったことが突きとめられた。現状のBSPは、有機層と無機層とが混在した多層構造膜(以下多層BSPという)であったのである。多層BSPの模式的な断面を、図16に示す。
【0026】
図16に示すように、多層BSPは、ウエハ裏面上に、例えば、第1層有機層101、第1層無機層102、第2層乃至第4層有機層103乃至105、第2層無機層106が順次積層されることで成り立つ。第1層乃至第4層有機層101、103乃至105は、例えば、炭素(C)やフッ素(F)を含む膜、例えば、Low−k膜をエッチングしたときに飛散したCFによって生成されたもの、と考えることができる。また、第1層無機層101、及び第2層無機層106は、酸化シリコン系の層(以下SiO層という)である。SiO層はLow−k膜がシリコン(Si)を含むものであったときにSiが酸化されたもの、あるいは酸素ガス(O)やオゾンガス(O)を使用するプラズマプロセス、例えば、レジストアッシングの際に飛散した酸素(O)がSiと化合することで生成されたもの、と考えることができる。
【0027】
上記参考例における推測された多層BSP除去のメカニズムを図17A乃至図17Dに示す。
【0028】
図17Aに示すように、ウエハを回転させ、図17Bに示すように、ウエハ上の多層BSPにオゾンガスを吹き付けながらレーザーを照射すると、ウエハの内部からウエハの表面方向に熱が伝わり、多層BSPが熱せられる。熱膨張率は、ウエハ、有機層、及び無機層の各層ごとに違うから、多層BSPは熱膨張率の違いに耐えられなくなり、やがて破断する。吹き付けられているオゾンガスは、破断箇所から多層BSP内に入り込み、有機層中の炭素やフッ素と反応する。反応の結果、有機層は、例えば、揮発する。しかしながら、オゾンガスは無機層(SiO層)を揮発させないばかりか、酸化を加速させてしまう。しかも、シリコンであるウエハまでも酸化させてしまう。
【0029】
上記反応において、有機層は揮発するが、無機層は揮発しないから、図17Cに示すように、無機層だけがウエハ上に残る。さらに、オゾンガスによりウエハの酸化が進行しているから、酸化層が無機層に向かって伸びる。やがて、無機層はウエハと接し、密着してしまう。
【0030】
多層BSP除去終了後においては、図17Dに示すように、ウエハ上に、ウエハと密着してしまった無機層と、熱収縮してウエハから浮いた無機層の破断箇所が残渣として残る。参考例におけるオゾンを吹きつけながらレーザー照射した後の状態を図18に示す。なお、図18には、実際のウエハのSEM像(ウエハの縁から0.3mmの箇所)が示されている。図18に示すように、ウエハ裏面(鳥瞰)には、浮いた残渣がはっきりとみてとれる。
【0031】
残渣を取り除くために、図17Dに示す工程の後、ブラッシングを試みた。しかしながら、ブラッシングによっても、残渣のうち浮いた部分は取り除くことができたが、残渣がウエハに密着している部分については取り除くことができなかった。参考例におけるブラッシング後の状態を図19に示す。なお、図19には、実際のSEM像が示されている。図19に示すように、浮いた部分が無くなっているが、ウエハ上には無機層が残っている。
【0032】
上述の試みでは、レーザーによって局所加熱しており、レーザーによる局所加熱は、瞬間的に300℃前後になることもある。この温度が、無機層とウエハとの密着性を増大させ、より強固に結びつかせてしまったもの、と推測される。
【0033】
以下、添付図面を参照して、この発明の実施形態について具体的に説明する。
【0034】
(第1の実施形態)
上述の試みから得た知見を礎として、第1の実施形態に係るBSP除去方法では、図1に示す処理フローとした。
【0035】
図1は、この発明の第1の実施形態に係るBSP除去方法の一例を示す流れ図である。
【0036】
図1に示すように、被処理基板、本例では半導体ウエハに基板処理、例えば、プラズマエッチング、具体的には層間絶縁膜(Low−k膜)のプラズマエッチングを施す(ステップ1)。次いで、基板処理が施された被処理基板のベベル面、及び裏面をブラッシングする(ステップ2)。このブラッシングの後、被処理基板のベベル面から裏面を加熱する(ステップ3)。
【0037】
本例に係るBSP除去方法であると、まず、被処理基板にプラズマエッチングなどの先行する処理を施した後、被処理基板のベベル面から裏面をブラッシングする。このブラッシングはBSP除去のための主処理となる。
【0038】
上述の試みでは主処理にオゾンガスを使うために化学反応である。しかし、本例では、主処理を化学反応ではなく、ブラッシング、即ち機械的破壊とした。本例では、多層BSPを機械的に破壊して、多層BSPを取り除く。
【0039】
しかし、多層BSPを機械的に破壊しただけでは、多層BSPを確実に取り除くまでには至らない。そこで、後処理として加熱を取り入れた。この加熱は化学反応を促進させる役割もあるが、本例は、上述した試みによって得た知見、即ち多層BSPの熱破断を積極的に狙ったものである。言い換えれば被処理基板上の多層BSPの残渣を、多層BSPと被処理基板との熱膨張率との差を利用し、熱的に破壊して剥離させてしまうことが狙いである。加熱温度の例としては、200℃以上300℃以下の範囲である。
【0040】
このように多層BSPを、まず、機械的に破壊する。これにより、多層BSPに含まれる無機層を取り除く。多層BSPから無機層を取り除いた後、多層BSPの残渣を加熱することで、多層BSPの残渣を熱的に破壊し被処理基板から剥離させる。
【0041】
本例によれば、被処理基板から、機械的破壊と熱的破壊との2段階でBSPを取り除くようにしたことで、BSPを、より確実に除去することができる。たとえ、BSPが、多層BSPのように無機層を含むものであったとしても、より確実に除去することができる。
【0042】
主処理に利用される機械的な破壊の一例は、図1に示すようにブラッシングである。
【0043】
また、後処理に利用される加熱方法の一例は、局所的かつ瞬間的に加熱する方法である。局所的かつ瞬間的に加熱する方法によれば、多層BSPの残渣に、より強い熱衝撃を瞬間的に与えることができる。瞬間的に強い熱衝撃を受けた多層BSPの残渣は、瞬間的に急激に膨張する。多層BSPの残渣を急激に膨張させることによって、多層BSPの残渣は、ゆっくりと膨張させる場合に比較すれば、被処理基板から効率よく剥離させることができる。局所的かつ瞬間的に加熱する方法の一例は、レーザー照射である。
【0044】
図2は、この発明の第1の実施形態に係るBSP除去方法の他例を示す流れ図である。
【0045】
図2に示すように、後処理においては、加熱と化学反応とを併用するようにしても良い(ステップ4)。化学反応を併用することで、多層BSPの残渣を、熱的破壊のみならず、化学的に剥離することもできる。例えば、多層BSPの残渣が有機層を含む場合には、有機層に反応する処理ガスをベベル面から裏面にかけて吹き付ける。これにより、多層BSPの残渣に含まれた有機層に化学反応が生じ、多層BSPの残渣を化学的に剥離、例えば、化学的に揮発させることも可能になる。
【0046】
このように、後処理において、加熱と化学反応とを併用することで、多層BSPの残渣は、さらに効率よく取り除くことができる。処理ガスの一例としては、オゾンガスを挙げることができる。
【0047】
また、オゾンガスでは反応が進みすぎたり、オゾナイザー等の周辺設備にコストがかかりすぎたりすることが懸念される場合には、処理ガスを、酸素ガスに切り替えても良い。
【0048】
また、処理ガスは、オゾンガス、及び酸素ガスに限られるものではなく、多層BSPの残渣と反応するガス、好ましくは有機層を揮発させるガスであれば使用することができる。このようなガスとしては、例えば、CF、C、CHF、CH、HF、Cl等を挙げることができる。
【0049】
また、被処理基板が半導体ウエハ、例えば、シリコンウエハのように、酸素と反応するものであった場合には、多層BSPの残渣には良く反応するが、被処理基板には反応し難い処理ガスを用いても良い。このような処理ガスとしては、窒素ガス(N)を挙げることができる。
【0050】
もちろん、後処理は、処理ガスを併用しなくても、大気のままで行われても良い。
【0051】
また、大気中に含まれる水蒸気は、被処理基板と反応する酸化剤となり得る。大気中に含まれる水蒸気が被処理基板と反応することを防ぎたい場合には、水蒸気を排除した乾燥空気(ドライエア)を、多層BSPの残渣に吹き付けるようにしても良い。
【0052】
次に、上記第1の実施形態に従ったBSP除去方法による効果の例を説明する。
【0053】
なお、本例は、主処理としてブラッシング、後処理としてレーザー照射にオゾンガス吹きつけを併用した例である。
【0054】
本例における推測された多層BSP除去のメカニズムを図3A乃至図3Dに示す。
【0055】
図3Aに示すようにウエハを回転させ、図3Bに示すようにウエハをブラシでブラッシングする。これにより、多層BSPが機械的に破壊され、特に、多層BSPから無機層が取り除かれる。
【0056】
次に、図3Cに示すように、無機層が取り除かれた多層BSPにレーザー(Laser)を照射しながら、オゾンガス(O)を吹き付ける。これにより、多層BSPの残渣が熱的破壊を起こし、ウエハ上から剥離する。これとともに、本例では、オゾンガスが多層BSPの残渣に含まれた有機層、特に、炭素やフッ素と反応して有機層が揮発する。
【0057】
多層BSP除去終了後においては、図3Dに示すように、ウエハ上からは多層BSPが除去される。本例におけるレーザー照射後の状態を図4に示す。なお、図4には、実際のSEM像が示されている。図4に示すように、ウエハ上からは多層BSPが無くなっている。
【0058】
このように、本例では、多層BSPを除去することができた。
【0059】
この除去に際しては、ウエット処理は使っていない。つまり、強い薬液は使わない。このため、BEOLにおいても、BSP除去を組み込むことができる。
【0060】
また、イオンなどの荷電粒子も使わない。つまり、BSPをスパッタするものではないから、ウエハも損傷し難い。このため、スパッタを用いたBSP除去に比較して、ウエハ自体を壊す可能性も小さい。
【0061】
従って、本例に係るBSP除去方法は、より確実にBSPを除去できるばかりでなく、実際の製造プロセスへの適用にも有利である。
【0062】
(第2の実施形態)
次に、上記第1の実施形態に係るBSP除去方法を実施することが可能なBSP除去装置の一例を、第2の実施形態として説明する。
【0063】
図5A乃至図5Dは、第2の実施形態に係るBSP除去装置の一例を概略的に示した図である。図5Aは平面図、図5Bは図5A中に示す矢印5B方向から見た側面図、図5Cは図5A中に示す矢印5C方向から見た側面図、図5Dは図5A中に示す矢印5D方向から見た側面図である。
【0064】
本例に係るBSP除去装置は、被処理基板、本例では半導体ウエハWを保持する被処理基板保持機構1と、この被処理基板保持機構1を回転させる回転機構2と、ウエハWに付着しているBSP、本例では多層BSPを機械的に破壊する破壊機構3と、多層BSPを加熱する加熱機構4とを備えている。
【0065】
被処理基板保持機構1は、ウエハWの周縁部を、保持ステージ11の外側にオーバーハングさせた状態で保持する。
【0066】
回転機構2は、被処理基板保持機構1、本例では保持ステージ11を、破壊機構3から加熱機構4に向かう方向に回転させる。
【0067】
破壊機構3は、ウエハWの周縁部、本例ではベベル面、及び裏面をブラッシングするブラッシング機構31と、ブラッシング機構31によって破壊された多層BSPを吸引排気する吸引排気機構32とを備える。
【0068】
本例のブラッシング機構31は、ベベル面をブラッシングするベベル面用ブラシ31aと、裏面をブラッシングする裏面用ブラシ31bと、ベベル面用ブラシ31aを回転させるブラシ回転機構31cと、裏面用ブラシ31bを回転させるブラシ回転機構31dと、これらの各部材31a乃至31dを一度に昇降させる昇降アクチュエータ31eとを備える。
【0069】
ベベル面用ブラシ31aは、ウエハWの裏面から傾いている裏面側ベベル面をブラッシングするために、上記裏面から傾けられる。対して、裏面用ブラシ31aは、裏面をブラッシングするので、基本的には裏面に対して垂直に取り付けられる。本例の裏面用ブラシ31aは、裏面のうち、ウエハWの縁から約5mmの範囲をブラッシングする。
【0070】
本例のブラッシング機構31によれば、回転する半導体ウエハWの周縁部、本例では裏面ベベル面と、裏面のうち、ウエハWの縁から約5mmの範囲にある部分を、回転するブラシ31a、31bを用いてブラッシングすることで、付着している多層BSPを機械的に破壊する。機械的に破壊された多層BSPは塵となって飛散するが、本例では、飛散した塵を、吸引排気機構32を用いて吸引排気する。
【0071】
本例の吸引排気機構32は、飛散した塵を吸引するために、吸引ファン32aを備えている。吸引ファン32aは吸引ダクト32bに繋がれている。吸引ファン32aによって吸引された塵は、吸引ダクト32bを介して図示せぬ廃棄物処理機構に向かって排気されて処理される。
【0072】
加熱機構4は、ウエハWの周縁部、本例ではベベル面、及び裏面を局所加熱するレーザー照射機構41と、ベベル面、及び裏面に処理ガスを吹き付ける処理ガス吹き付け機構42と、加熱機構4内を排気する排気機構43とを備える。
【0073】
レーザー照射機構41のレーザー源は、本例では一例として、波長が808nm、レーザースポットエリアが0.5乃至3mm、レーザーパワーが200Wの半導体レーザーを用いた。このような半導体レーザーであると、ウエハWの周縁部に設定されるスポットエリアを、局所的に200℃以上300℃以下に加熱することができる。具体的には、焦点距離を約0.6mmとすると、ウエハWの周縁部に設定されるスポットエリアを、局所的に300℃程度に加熱することができる。また、処理ガス吹き付け機構42が吹き付ける処理ガスには、本例では、一例としてオゾンガス(O)を用いた。オゾンガスは、図示せぬオゾンガス供給機構から供給される。オゾンガスを噴射するノズル42aは、例えば、レーザーが照射されるレーザースポット41aの近傍に配置され、ノズル42aから噴射されたオゾンガスは、レーザースポット41a、即ちウエハWの局所的に加熱される部分に向かう。
【0074】
なお、処理ガス吹き付け機構42は、例えば、大気環境下にてBSP除去を実施する場合には取り付けを省略しても良く、また、取り付けたとしても、処理ガスは、必要に応じて供給するようにしても良い。もちろん、処理ガスは、第1の実施形態において説明した通り、オゾンガスに限られるものではない。
【0075】
排気機構43は排気口43aをレーザースポット41aの近傍に備えており、レーザースポット41aに向かって噴射されたオゾンガスを排気する。排気口43aは図示せぬ排気ポンプに接続される。
【0076】
上記BSP除去装置によれば、回転機構2を用いて、ウエハWを保持ステージ11とともに回転させ、破壊機構3で多層BSPを機械的に破壊し、多層BSPを機械的に破壊したあと、加熱機構4を用いて多層BSPの残渣を加熱することで、第1の実施形態において説明したように、BSP、たとえ、BSPが有機層及び無機層を含む多層BSPであったとしても、より確実に除去することができる。
【0077】
(第3の実施形態)
次に、上記BSP除去装置を備えた基板処理装置の一例を、第3の実施形態として説明する。
【0078】
上述したように、多層BSPは、特に、層間絶縁膜をプラズマエッチングした後に付着することが多い。そこで、第2の実施形態において説明したようなBSP除去装置は、プラズマエッチングを行う基板処理装置に一体的に組み込まれることが良い。
【0079】
図6は、第3の実施形態に係る基板処理装置の一例を概略的に示した水平断面図である。本例に係る基板処理装置は、複数の処理ユニットを有したマルチチャンバ型、かつ、BSP除去機能付きの基板処理装置である。
【0080】
図6に示すように、本例に係るBSP除去機能付き基板処理装置は、被処理基板、本例では半導体ウエハWを搬入、又は搬出する搬入出室51と、被処理基板Wを格納可能な搬送容器、本例ではフープ(FOUP)Fが取り付けられ、この取り付けられたフープFを搬入出室51と連通可能とする、搬入出室51に設けられたポート52、本例では3つのポート52a乃至52cと、ウエハWに処理を施す複数の処理ユニット、本例では4つの処理ユニット61乃至64と、処理ユニット61乃至64それぞれに連通可能な搬送室71と、搬入出室51と搬送室71とを接続するロードロック室81、本例では2つのロードロック室81a及び81bと、搬送室71内に設けられ、ロードロック室81a、81b及び処理ユニット61乃至64のそれぞれに対してウエハWの搬送が可能な第1の搬送機構72と、搬入出室51に連通可能な、多層BSPを除去するBSP除去ユニット91と、搬入出室51内に設けられ、フープF、ロードロック室81a、81b、及びBSP除去ユニット91のそれぞれに対してウエハWの搬送が可能な第2の搬送機構53と、を備える。
【0081】
処理ユニット61乃至64は、六角形をなす搬送室71の4つの辺に対応して設けられている。搬送室71の他の2つの辺にはロードロック室81a、81bが設けられている。ロードロック室81a、81bの搬送室71と反対側には搬入出室51が設けられている。搬入出室51のロードロック室81a、81bと反対側にはフープFが取り付けられるポート52a乃至52cが設けられている。
【0082】
処理ユニット61乃至64は、内部に設けられている図示せぬ処理プレート上にウエハWを載置した状態で、所定の真空処理、エッチングや成膜処理を行う。
【0083】
処理ユニット61乃至64、並びにロードロック室81a及び81bは、搬送室71の各辺にゲートバルブGを介して接続される。処理ユニット61乃至64、並びにロードロック室81a及び81bは、対応するゲートバルブGを開放することで搬送室71と連通され、ゲートバルブGを閉じることで搬送室71から遮断される。搬送室71は、処理ユニット61乃至64の内部と同様に、所定の真空度に保持することが可能になっている。
【0084】
ロードロック室81a及び81bは、さらに搬入出室51にもゲートバルブGを介して接続される。ロードロック室81a及び81bは、対応するゲートバルブGを開放することで搬入出室51と連通され、ゲートバルブGを閉じることで搬入出室51から遮断される。ロードロック室81a及び81bは、搬送室71と同じ真空度から、搬入出室51と同じ大気圧の間で圧力調整が可能になっている。
【0085】
搬送室71に設けられた第1の搬送機構72は、本例では、搬送室71の略中央に配設され、回転および伸縮可能な回転・伸縮部72aと、互いに反対方向を向くように回転・伸縮部72aに取り付けられたウエハWを支持する2つの支持アーム72b、72cとを有する。本例では支持アームをツインタイプとしているが、シングルタイプでも良い。
【0086】
ポート52a乃至52cには図示せぬシャッターが設けられている。ポート52a乃至52cにフープFが取り付けられると、シャッターが外れ、フープFの内部が搬入出室51と連通される。
【0087】
搬入出室51に設けられた第2の搬送機構53は、本例では、ポート52a乃至52cの配列方向に沿って配設されたレール53a上を走行する、多関節アーム53bを有している。多関節アーム53bの先端にはハンド53cが取り付けられていて、ウエハWはハンド53c上に載せられる。
【0088】
搬入出室51の、レール53aの一端側には、BSP除去ユニット91が設けられ、その他端側にはアライメントチャンバ54が設けられている。
【0089】
BSP除去ユニット91には、第2の実施形態において説明したようなBSP除去装置が取り付けられる。本例のBSP除去ユニット91は、周縁部をオーバーハングさせた状態でウエハWを保持し、回転機構により回転される被処理基板保持機構1、破壊機構3、及び加熱機構4を備える。アライメントチャンバ54は、ウエハWの向きのアライメントを行う。
【0090】
本例に係るBSP除去機能付き基板処理装置は、制御部200の制御により制御される。本例の制御部200はプロセスコントローラ201を有し、プロセスコントローラ201が基板処理装置を制御する。
【0091】
プロセスコントローラ201にはユーザーインターフェース202が接続されている。ユーザーインターフェース202は、オペレータが基板処理装置を管理するためにコマンドの入力操作等を行うキーボードや、基板処理装置の稼働状況等を可視化して表示するディスプレイ等を有している。
【0092】
さらに、プロセスコントローラ201には記憶部203が接続されている。記憶部203は、基板処理装置で実行される処理をプロセスコントローラ201の制御にて実現するための制御プログラムや、処理条件に応じた処理を処理ユニット61乃至64に実行させたり、第1の実施形態において説明したようなBSP除去方法をBSP除去装置91に実行させたりするためのプログラム、即ちレシピが格納される。レシピは記憶部203の中の記憶媒体に記憶される。記憶媒体は、ハードディスクや半導体メモリであっても良い。し、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであっても良い。。任意のレシピは、必要に応じて、ユーザーインターフェース202からの指示等にて記憶部203から読み出され、プロセスコントローラ201に実行させることで、プロセスコントローラ201の制御下で、処理ユニット61乃至64での所望の処理や、BSP除去ユニット91によるBSP除去処理が行われる。なお、レシピは、他の装置から、例えば専用回線を介して適宜伝送させることも可能である。
【0093】
本例に係るBSP除去機能付き基板処理装置によれば、搬入出室51にBSP除去ユニット91が接続されているので、処理ユニット61乃至64において処理が施された処理済みのウエハWからBSPを除去した状態で、フープFに格納することができる。
【0094】
即ち、処理ユニット61乃至64において処理が施されたウエハWを、搬入出室51に搬送し、この搬入出室51からBSP除去ユニット91に搬送し、このBSP除去ユニット91において処理が施されたウエハWからBSPを除去し、BSPが除去されたウエハWをフープFに格納して搬入出室51から搬出することができる。
【0095】
このようなBSP除去機能付き基板処理装置によれば、BSPが付着したまま、ウエハWが搬出されることが抑制されるので、フープFや、後段の処理に使用される別の基板処理装置や洗浄液をBSPによって汚染してしまったり、ステージヒーターを焼け付かせてしまったりするような事情を軽減することができる。
【0096】
従って、本例に係るBSP除去機能付き基板処理装置は、実際の製造ラインへの組み込みに好適であり、実際に製造される半導体集積回路の高品質化にも有利である。
【0097】
また、BSPは、層間絶縁膜をプラズマエッチングした後に付着し易い。従って、本例に係るBSP除去機能付き基板処理装置は、処理ユニット61乃至64のいずれかに、ウエハWに形成されている層間絶縁膜をプラズマエッチングするプラズマエッチングユニットを含んだ基板処理装置への適用が好適である。
【0098】
さらに、ホトレジストのアッシングには酸素を含むプラズマが用いられる。酸素を含むプラズマ中では、特に、BSPに無機層が形成されやすい。従って、本例に係るBSP除去機能付き基板処理装置は、処理ユニット61乃至64のいずれかに、層間絶縁膜をエッチングするときにマスクとして用いられ、層間絶縁膜上に形成されているホトレジストをアッシングするプラズマアッシングユニット、を含んだ基板処理装置への適用も好適である。また、処理ユニット61乃至61のいずれか2つに、上記プラズマエッチングユニット、及びプラズマアッシングユニットの双方を含んだ基板処理装置への適用も良い。
【0099】
また、プラズマエッチングユニットが、炭素やフッ素を含む層間絶縁膜、いわゆるLow−k膜をエッチングする場合には、本例に係るBSP除去機能付き基板処理装置は、さらに好適に適用することができる。
【0100】
なお、本例では、処理ユニットの数を4つとしているが、処理ユニットの数は4つに限られるものではない。
【0101】
(第4の実施形態)
第1の実施形態では、主処理と後処理の2段階で、BSPを除去したが、BSPの除去は、上記2段階に限られるものではなく、変形が可能である。以下、変形例のいくつかを、この発明の第4の実施形態として説明する。
【0102】
(第1例)
図7は、この発明の第4の実施形態に係るBSP除去方法の第1例を示す流れ図である。
【0103】
図7に示すように、第1例は、第1の実施形態で説明したステップ2の前に、前処理処理として、BSP、例えば、多層BSPを加熱によって熱的に破壊しておいても良い(ステップ11)。
【0104】
本例に係るBSP除去方法によれば、多層BSPを機械的に破壊する前に、予め多層BSPを加熱によって熱的に破壊しておくので、ステップ2における機械的な破壊を、より効率良く実施することができる。
【0105】
なお、ステップ11に示す前処理における加熱は酸化性雰囲気で行うと、多層BSP中の無機層を成長させたり、あるいはウエハに密着させたりする可能性がある。このため、ステップ11に示す前処理は、非酸化性雰囲気で行うことが良い。
【0106】
また、ステップ11に示す前処理における加熱方法は、局所的な加熱、例えば、レーザー照射による加熱で良い。
【0107】
本例に係るBSP除去方法は、図5A乃至図5Dに示したBSP除去装置を用いて実行することが可能である。
【0108】
本例に係るBSP除去方法を、図5A乃至図5Dに示したBSP除去装置を用いて行うには、以下のようにすると良い。
【0109】
まず、加熱機構4を用いて、処理ガス吹き付け機構42から処理ガスを吹き付けない、もしく処理ガス吹き付け機構42から非酸化性ガスを吹き付けながら、被処理基板、本例ではウエハWを回転させながら、このウエハWの周縁部を加熱していく。次いで、破壊機構3を用いて、ウエハWを回転させながら、ウエハWの周縁部、即ちウエハWの裏面、及びベベル面に付着した多層BSPを機械的に破壊する。次いで、再度、加熱機構4を用いて、処理ガス吹き付け機構42から処理ガスを吹き付けずに、ウエハWを回転させながら、ウエハWの周縁部を加熱し、ウエハWの裏面、及びベベル面に付着した多層BSPを熱的に破壊する。
【0110】
このように、第4の実施形態の第1例に係るBSP除去方法は、第1の実施形態において説明したBSP除去方法の一例、及び他例と同様に、図5A乃至図5Dに示したBSP除去装置を用いて行うことができる。
【0111】
なお、以下に説明される第4の実施形態の第2例、及び第3例に係るBSP除去方法においても、本第1例と同様に、図5A乃至図5Dに示したBSP除去装置を用いて行うことができる。
【0112】
(第2例)
図8は、この発明の第4の実施形態に係るBSP除去方法の第2例を示す流れ図である。
【0113】
図8に示すように、第2例は、図2に示した第1の実施形態の他例に係る流れにおいて、前処理として、ステップ11に示す多層BSPの熱的破壊を事前に行うものである。
【0114】
このように、図2に示した第1の実施形態の他例においても、多層BSPを機械的に破壊する前に、事前に多層BSPを熱的に破壊するようにしても良い。
【0115】
この第2例においても前処理(ステップ11)は、非酸化性雰囲気で行うことが良い。
【0116】
(第3例)
図9は、この発明の第4の実施形態に係るBSP除去方法の第3例を示す流れ図である。
【0117】
図9に示すように、第3例は、後処理を省略し、前処理(ステップ11)と主処理(ステップ1)との2段階で、多層BSPを除去するようにしたものである。
【0118】
このように、前処理(ステップ11)において、多層BSPを熱的に破壊しておくことにより、主処理(ステップ1)において、多層BSPを効率良く除去することが可能になるから、図7及び図8に示した後処理(ステップ3、及びステップ4)は省略することも可能である。
【0119】
この第3例においても前処理(ステップ11)は、非酸化性雰囲気で行うことが良い。
【0120】
(第5の実施形態)
第5の実施形態は、破壊機構3が備えるブラッシング機構の例である。
【0121】
(第1例)
図10はブラッシング機構の第1例を示す図である。図10Aは平面図、図10Bは図10A中の10B−10B線に沿う断面図である。
【0122】
図10A及び図10Bに示すように、第1例に係るブラッシング機構31fは、図5に示したブラシ回転機構31c又は31dにより回転される回転軸301の先端に、円形のベース302を取り付け、ベース302上の回転軸方向に平行なブラシ部303を設けたものである。
【0123】
多層BSPは、図10A及び図10Bに示すようなブラシ部303を回転させることで、機械的に破壊することができる。
【0124】
ところで、第1例に係るブラッシング機構31fは、ブラシ部303が回転軸方向に平行である。このため、図11Aに示すように、ブラシ部303を、ベベル面304や裏面305に垂直に接触させると、図11Bに示すように、破壊した多層BSP306を掻き上げてしまう可能性がある。破壊した多層BSPが掻き上げられると、破壊した多層BSPがウエハWの表面307への再付着を起こす可能性がある。
【0125】
このような破壊した多層BSPの再付着は、図5Cに示した吸引排気機構32を用いて、十分に吸引排気することで解消することが可能である。
【0126】
ただし、破壊した多層BSPの掻き上げを根本から解消するには、例えば、図12に示すように、ブラシ部303をベベル面304や裏面305に垂直に接触させずに、ブラシ部303を、破壊した多層BSP306を掻き下げる方向に接触するように、ベベル面304や裏面305に対して傾かせて接触させるようにしても良い。
【0127】
図12に示すように、ブラシ部303を傾かせて、ベベル面304や裏面305に接触させることで、破壊した多層BSP306の掻き上げを防止することができる。
【0128】
(第2例)
図13はブラッシング機構の第2例を示す図である。図13Aは平面図、図13Bは図13A中の13B−13B線に沿う断面図である。
【0129】
図13に示すように、第2例に係るブラッシング機構31gは、図10A及び図10Bに示した第1例に係るブラッシング機構31fと異なるところは、ブラシ部303aを、ベース302上に、回転軸方向に交差するように、本例では直交するように設けたものである。
【0130】
第2例に係るブラッシング機構であると、図14に示すように、ブラシ部303aを、必ず破壊した多層BSPを掻き下げる方向に接触させることができる。従って、破壊した多層BSPの掻き上げに起因した、ウエハW上への破壊した多層BSPの再付着の事情を解消することができる。
【0131】
以上、この発明を実施形態に基づいて説明したが、この発明は上記実施形態に限定されることなく種々変形可能である。
【0132】
例えば、多層BSPの機械的な破壊にブラッシング機構を用いたが、多層BSPを機械的に破壊する手段としては、ブラッシング機構に限られるものではない。
【0133】
また、加熱機構としてレーザー照射を用いたが、多層BSPの残渣を加熱する手段としては、レーザー照射に限られるものではない。
【図面の簡単な説明】
【0134】
【図1】この発明の第1の実施形態に係るBSP除去方法の一例を示す流れ図
【図2】この発明の第1の実施形態に係るBSP除去方法の他例を示す流れ図
【図3】第1の実施形態における推測された多層BSP除去のメカニズムを示す断面図
【図4】第1の実施形態におけるレーザー照射後の状態を示す図
【図5】この発明の第2の実施形態に係るBSP除去装置の一例を概略的に示した図
【図6】この発明の第3の実施形態に係る基板処理装置の一例を概略的に示した水平断面図
【図7】この発明の第4の実施形態に係るBSP除去方法の第1例を示す流れ図
【図8】この発明の第4の実施形態に係るBSP除去方法の第2例を示す流れ図
【図9】この発明の第4の実施形態に係るBSP除去方法の第3例を示す流れ図
【図10】ブラッシング機構の第1例を示す図
【図11】第1例に係るブラッシング機構が有する事情を説明する図
【図12】第1例に係るブラッシング機構の接触例を示す図
【図13】ブラッシング機構の第2例を示す図
【図14】第2例に係るブラッシング機構の接触例を示す図
【図15】図15Aはプロセスチャンバ内におけるウエハの載置状態を示す断面図、図15B乃至図15DはBSPが関与すると推測される影響を示す図
【図16】多層BSPを模式的に示す断面図
【図17】参考例における推測された多層BSP除去のメカニズムを示す断面図
【図18】参考例におけるレーザー照射後の状態を示す図
【図19】参考例におけるブラッシング後の状態を示す図
【符号の説明】
【0135】
1…被処理基板保持機構、11…保持ステージ、2…回転機構、3…破壊機構、31…ブラッシング機構、32…吸引排気機構、4…加熱機構、41…レーザー照射機構、42…処理ガス吹き付け機構、43…排気機構、51…搬入出室、52…ポート、53…第3の搬送機構、61、62、63、64…処理ユニット、71…搬送室、72…第1の搬送機構、81a、81b…ロードロック室、91…BSP除去ユニット。



【特許請求の範囲】
【請求項1】
被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去するBSP除去方法であって、
前記多層BSPを機械的に破壊する第1工程と、
前記機械的に破壊された多層BSPの残渣を加熱する第2工程と、
を具備することを特徴とするBSP除去方法。
【請求項2】
前記第1工程に、ブラッシングを用いることを特徴とする請求項1に記載のBSP除去方法。
【請求項3】
前記第1工程において、前記機械的に破壊された多層BSPを吸引排気することを特徴とする請求項1に記載のBSP除去方法。
【請求項4】
前記第2工程に、レーザー照射を用いることを特徴とする請求項1に記載のBSP除去方法。
【請求項5】
前記第2工程において、前記機械的に破壊された多層BSPの残渣を揮発させる処理ガスを、さらに吹き付けることを特徴とする請求項1に記載のBSP除去方法。
【請求項6】
前記第1工程の前に、前記多層BSPを加熱し、前記多層BSPを熱的に破壊する前処理工程を備えることを特徴する請求項1に記載のBSP除去方法。
【請求項7】
前記前処理工程は、非酸化性雰囲気で行うことを特徴とする請求項6に記載のBSP除去方法。
【請求項8】
被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去するBSP除去装置であって、
前記被処理基板の周縁部をオーバーハングさせた状態で保持する被処理基板保持機構と、
前記被処理基板保持機構を回転させる回転機構と、
前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを機械的に破壊する破壊機構と、
前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを加熱する加熱機構と、
を具備することを特徴とするBSP除去装置。
【請求項9】
前記破壊機構は、前記被処理基板のベベル面、及び裏面をブラッシングするブラッシング機構を備えることを特徴とする請求項8に記載のBSP除去装置。
【請求項10】
前記ブラッシング機構は、回転軸に平行なブラシ部を備え、前記ブラシ部を前記非処理基板のベベル面、及び裏面に傾かせて接触させることを特徴とする請求項9に記載のBSP除去装置。
【請求項11】
前記ブラッシング機構は、回転軸に交差するブラシ部を備えていることを特徴とする請求項9に記載のBSP除去装置。
【請求項12】
前記破壊機構は、前記機械的に破壊された多層BSPを吸引排気する吸引排気機構を、さらに備えることを特徴とする請求項10に記載のBSP除去装置。
【請求項13】
前記加熱機構は、レーザー照射機構を備えることを特徴とする請求項10に記載のBSP除去装置。
【請求項14】
前記加熱機構は、前記機械的に破壊された多層BSPの残渣を揮発させる処理ガスを吹き付ける処理ガス吹き付け機構を、さらに備えることを特徴とする請求項10に記載のBSP除去装置。
【請求項15】
被処理基板を搬入、又は搬出する搬入出室と、
前記搬入出室に設けられた、前記被処理基板を格納可能な搬送容器が取り付けられ、この取り付けられた搬送容器を前記搬入出室と連通可能とするポートと、
前記被処理基板に処理を施す複数の処理ユニットと、
前記複数の処理ユニットそれぞれに連通可能な搬送室と、
前記搬入出室と前記搬送室とを接続するロードロック室と、
前記搬送室内に設けられ、前記ロードロック室及び前記複数の処理ユニットのそれぞれに対して前記被処理基板の搬送が可能な第1の搬送機構と、
前記被処理基板のベベル面、及び裏面に付着した無機層、及び有機層を含む多層ベベル/バックサイドポリマー(BSP)を除去する前記搬入出室に連通可能なBSP除去ユニットと、
前記搬入出室内に設けられ、前記搬送容器、前記ロードロック室、及び前記BSP除去ユニットのそれぞれに対して前記被処理基板の搬送が可能な第2の搬送機構と、
を具備することを特徴とする基板処理装置。
【請求項16】
前記BSP除去ユニットは、
前記被処理基板の周縁部をオーバーハングさせた状態で保持する被処理基板保持機構と、
前記被処理基板保持機構を回転させる回転機構と、
前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを機械的に破壊する破壊機構と、
前記被処理基板保持機構に保持された前記被処理基板に付着している前記多層BSPを加熱する加熱機構と、
を具備することを特徴とする請求項15に記載の基板処理装置。
【請求項17】
前記複数の処理ユニットは、少なくとも前記被処理基板上に形成されている層間絶縁膜をエッチングするエッチングユニットを含むことを特徴とする請求項15に記載の基板処理装置。
【請求項18】
前記複数の処理ユニットは、前記層間絶縁膜をエッチングするときにマスクとして用いられ、前記層間絶縁膜上に形成されているホトレジストをアッシングするアッシングユニットを、さらに含むことを特徴とする請求項17に記載の基板処理装置。
【請求項19】
前記複数の処理ユニットにおいて処理が施された被処理基板を前記搬入出室に搬送し、この搬入出室から前記BSP除去ユニットに搬送し、このBSP除去ユニットにおいて前記処理が施された被処理基板からBSPを除去し、BSPが除去された被処理基板を前記搬送容器に格納して前記搬入出室から搬出することを特徴とする請求項15に記載の基板処理装置。
【請求項20】
コンピュータ上で動作し、ベベル/バックサイドポリマー(BSP)除去を制御するプログラムが記憶された記憶媒体であって、
前記プログラムは、実行時に、請求項1に記載のBSP除去方法が行われるように、コンピュータにBSP除去装置を制御させることを特徴とする記憶媒体。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4】
image rotate

【図5】
image rotate

【図6】
image rotate

【図7】
image rotate

【図8】
image rotate

【図9】
image rotate

【図10】
image rotate

【図11】
image rotate

【図12】
image rotate

【図13】
image rotate

【図14】
image rotate

【図15】
image rotate

【図16】
image rotate

【図17】
image rotate

【図18】
image rotate

【図19】
image rotate


【公開番号】特開2009−123831(P2009−123831A)
【公開日】平成21年6月4日(2009.6.4)
【国際特許分類】
【出願番号】特願2007−294529(P2007−294529)
【出願日】平成19年11月13日(2007.11.13)
【出願人】(000219967)東京エレクトロン株式会社 (5,184)
【Fターム(参考)】