説明

銅相互接続配線、ならびにそれを構成するための方法および機器

ガスクラスターイオンビーム処理プロセスの適用により、集積回路の相互接続構造に使用される、銅の相互接続配線層の表面上で、層をキャップ化する、改良された集積相互接続、集積回路の構造を形成する方法ならびに機器である。銅の拡散が抑制され、電気泳動寿命が向上し、選択金属キャップ化技術の使用、およびそれに付随した問題が解消される。銅のキャップ化処理、清浄化処理、エッチング処理、および膜形成処理用の、ガスクラスターイオンビーム処理モジュールを含む、各種クラスターツール構成について示した。

【発明の詳細な説明】
【技術分野】
【0001】
本発明は、全般に、銅相互接続配線層の表面上のキャップ化層、ならびにガスクラスターイオンビーム(GCIB)プロセスの適用による、半導体集積回路用の相互接続構造を形成する改善された方法および機器に関する。
【背景技術】
【0002】
高密度化およびさらなる特性に対する半導体の継続的な「ムーアの法則」によるスケール化は、産業および我々の社会に、生産性の著しい向上をもたらしている。しかしながら、このスケール化に起因した問題は、より小さな相互接続配線に、より多くの電流を流す必要が生じることである。そのような微細配線中の電流密度および温度が極めて高くなると、相互接続配線は、電気泳動と呼ばれる現象により劣化する恐れがある。高電流密度相互接続配線に生じる、いわゆる「電子窓」の効果により、金属原子は、その元の格子位置から押し出され、その結果、これらの拡散金属原子が集まる領域で、配線の開回路化または押出短絡が生じる。アルミニウムに代わる配線材料として銅を導入することにより、電気泳動の寿命に大きな改善が得られる。しかしながら、相互接続配線の継続的なスケール化は、将来、銅の電気泳動寿命に追加の改善が必要となることを示唆している。
【0003】
粒界に沿ったアルミニウム原子の拡散により問題が生じるアルミニウム相互接続とは異なり、銅の相互接続の電気泳動の不具合モードは、表面および界面に沿った拡散により制御される。特に、従来の銅配線の相互接続構造では、銅配線の上部表面は、通常、誘電体キャップ層で被覆されている。この誘電体キャップ層は、良好な拡散バリア特性を有し、銅の周囲誘電体への泳動を抑制する必要がある。2つの最も一般的に使用される誘電体キャップ材料は、窒化珪素と炭窒化珪素であり、通常これらの材料は、プラズマ加速化学気相成膜(PECVD)技術により成膜される。残念ながら、これらのPECVD成膜キャップ材料では、銅との間に、欠陥のある界面が形成され、その結果、銅配線の上部表面に沿った銅の泳動が加速され、これにより、電気泳動の不具合確率が高くなる。通常、銅配線構造の他の表面は、バリア層またはバイレイヤ層(通常の場合、TaN/Ta、Tan/RuまたはRuのような金属)と界面を構成し、これは、銅との間に、銅の拡散が抑制されるような強い界面を形成し、これにより、電気泳動の影響が抑制される。我々は、そのようなバリアまたはバイレイヤ層を「バリア層」と呼ぶ。我々は、配線相互接続の層を相互接続層、配線層、または層間接続層と称し、配線相互接続層の各々は、少なくとも金属導体の層を有し、さらに、低いレベルの基板または下側相互接続層から、および配線相互接続の同じ層内の他の金属導体から、金属導体層を絶縁する層間誘電体の層を有する。
【0004】
銅配線の上部表面をキャップ化することにより、選択的に成膜された金属キャップで、銅配線の電気泳動を改善する対策が検討されている。実際に、選択的タングステンまたは選択的コバルトタングステンリン(CoWP)の金属層により、上部銅界面がキャップ化されると、銅の電気泳動寿命に顕著な改善が認められることが報告されている。残念ながら、選択的な金属キャップを使用する全ての方法は、隣接する絶縁体表面に、何らかの金属が成膜されるいくつかの可能性を有しており、このため、隣接する金属配線の間に、意図しないリークまたは短絡が生じ得る。本発明では、ガスクラスターイオンビーム処理プロセスを使用し、これらの多くの問題を解決する。
【発明の開示】
【発明が解決しようとする課題】
【0005】
図1には、銅のジュアルダマスク集積処理に広く使用される、銅の相互接続をキャップ化する、従来の窒化珪素の配線構造300を概略的に示す。これは、第1の銅配線層302と、第2の銅配線層304と、2つの銅層を接続する銅ビア構造306と、を有する。両配線層302、304の側壁および底部、ならびにビア構造306は、バリア層312で囲まれている。バリア層312は、優れた拡散バリア特性を提供し、これにより、銅の隣接する絶縁体構造への拡散が抑制されるとともに、銅に対して有意に低拡散の界面が提供され、これらの界面に沿った電気泳動が抑制される。第1の層間誘電体層308および第2の層間誘電体層310により、銅配線の間に、絶縁が提供される。第1の銅配線層302の上部表面と、第2の銅配線層304の上部表面は、それぞれ、絶縁バリア膜314および316により被覆され、これらは、通常、窒化珪素または炭窒化珪素で構成される。従来より、これらの絶縁バリア膜314および316は、PECVD法で成膜され、これらが露出銅表面とともに形成する界面は、欠陥があり、銅原子を泳動させるための速い拡散経路を提供する。この従来の配線構造では、銅の電気泳動の間、これらの界面に沿って、ほとんど全ての好ましくない材料の移動が生じる。このような従来のジュアルダマスク銅相互接続では、各相互接続レベルに、層間接続誘電体層内の溝およびビアの形成後、ならびに後続する銅の成膜により、相互接続配線およびビアを形成した後、通常、化学機械研磨(CMP)技術を用いて、平坦化ステップが実施される。平坦化ステップでは、層間接続誘電体層の上部表面から、バリア層材料が除去され、銅配線層の上部表面、およびこれと同一平面上にある層間接続誘電体層の上部表面が形成される。CMPおよびCMP後のブラシ清浄化処理の両方には、腐食インヒビターが使用され、これらの腐食インヒビターおよび他のコンタミネーション物質は、キャップ層の成膜前のin-situ清浄化により、銅表面から除去される必要がある。ex-situ清浄化処理プロセスを用いて、銅表面を腐食および酸化に対して敏感な状態のままにしても良い。PECVD反応体は、通常、絶縁体キャップ層を成膜する前の銅表面の有効なin-situ清浄化処理を実施するようには構成されていない。図1には示されていないが、配線構造300は、通常、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する半導体構造上に形成され、集積回路が完成される。
【0006】
図2には、従来の選択性金属キャップ化銅相互接続の配線構造400を示す。これは、第1の銅配線層402と、第2の銅配線層404と、2つの銅層を接続する銅ビア構造406とを有する。両配線層402、404の側壁および底部、ならびにビア構造406は、全て、バリア層412で囲まれている。バリア層412は、優れた拡散バリア特性を提供し、これは、銅の隣接する絶縁体構造への拡散を抑制し、銅との間に、優れた低拡散界面が提供され、これらの界面に沿った電気泳動が抑制される。第1の層間誘電体層408と、第2の層間誘電体層410により、銅配線の間に絶縁が提供される。第1の銅配線層402の上部表面、および第2の銅配線層404の上部表面は、それぞれ、選択的に成膜された金属層414、416でキャップ化されており、これらは、通常、化学気相成膜(CVD)法あるいは無電解技術で成膜された選択タングステンまたは選択CoWPのいずれかで構成される。この従来のジュアルダマスク銅相互接続では、各相互接続レベルにおいて、層間誘電体層の溝およびビアの形成後、ならびにその後の銅の成膜後、相互接続配線およびビアが形成され、その後、通常、化学機械研磨(CMP)技術を用いて、平坦化ステップが実施される。平坦化ステップでは、層間誘電体層の上部表面から、バリア層材料が除去され、銅配線の上部表面、およびこれと同一平面内にある層間接続誘電体層の上部表面が形成される。CMPおよびCMP後のブラシ清浄化処理の両方において、腐食インヒビターが使用され、これらのおよび他のコンタミネーション物質は、キャップ層が成膜される前に、銅表面から除去される必要がある。タングステンまたはCoWP材料層のいずれかにより、銅層の上部銅界面がキャップ化されると、銅の電気泳動寿命に大きな改善が得られることが報告されている。残念ながら、選択的な材料キャップ化を行う全ての解決方法では、例えば、隣接する絶縁体表面に、好ましくない金属418が成膜される可能性があり、結果的に、隣接する金属配線の間に、電気的リークまたは短絡が生じ得る。選択金属成膜技術では、極めて大きな電気泳動の改善が提供されるが、これらは、製造においてはあまり実施されていない。層間誘電体層の隣接する絶縁体表面への、好ましくないコンタミネーション金属の成膜により、半導体ダイの歩留まりが低下する可能性が高いためである。図2には示されていないが、配線構造400は、通常、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する半導体基板上に構成され、集積回路が完成される。
【0007】
表面を処理するガスクラスターイオンビームは、公知である(例えば、Deguchi等の米国特許第5,814,194号参照)。ここでは、ガスクラスターという用語は、標準的な温度および圧力条件では気体である、ナノサイズの材料の凝集体を意味する。そのようなガスクラスターは、通常、数個から数千個の分子の弱く結合された凝集体からなり、ガスクラスターが形成される。ガスクラスターは、電子衝突または他の手段によりイオン化され、これらは、制御可能なエネルギーの誘導されたビームを形成する。そのようなイオンの各々は、通常、q・eの正の電荷を運搬し(ここでeは、電子の電荷であり、qは、1から、ガスクラスターイオンの電荷状態を表すいくつかの値までの整数である)。ガスクラスターイオンビーム内には、非イオン化ガスクラスターが存在しても良い。大きな寸法のガスクラスターイオンは、単位ガスクラスターイオン当たり相当量のエネルギーを運搬することができるため、しばしば最も有益であるが、単位分子当たり、適度のエネルギーのみを有しても良い。ガスクラスターは、衝突により分離し、各個々の分子は、全ガスクラスターイオンエネルギーのうちの少量分のみを運搬する。その結果、大きなガスクラスターイオンの衝突効果は相当なものとなるが、これは、極めて狭小の表面領域に限定される。このため、ガスクラスターイオンは、多くの表面改質処理プロセスに有効であり、従来の単分子イオンビーム処理プロセスのような表面下に深い損傷を及ぼすこともない。そのようなGCIBを形成し、加速する手段は、前述の文献(US5,814,194)に示されている。現在利用可能なガスクラスターイオン源は、広いサイズ分布Nを有するガスクラスターイオンを形成する(ここでNは、各ガスクラスターイオンの分子数であり、本願では、アルゴンのような単分子ガスの場合、単原子ガスの原子は、分子と称され、そのような単分子ガスのイオン化原子は、分子イオンと称され、あるいは、単に単分子イオンと称される)。GCIB法による表面衝突により、多くの有益な表面処理プロセス効果が得られる。これらの処理プロセス効果には、必ずしもこれに限られるものではないが、清浄化、平滑化、エッチング処理、ドーピング処理、および膜形成もしくは成長処理が含まれる。アレン(Allen)らの米国特許ダイ6,537,606号には、初期の不均一薄膜の修正エッチング処理に、GCIBを使用して、その空間均一性を改善することが示されている。米国特許第6,537,606号の内容は、本願の参照として取り入れられている。
【0008】
エネルギーガスクラスターの固体ターゲット表面への衝突の際の、クラスター原子のターゲット表面への進入は、通常、極めて僅かである。これは、浸透深さは、各個々の構成原子の低エネルギーにより制限され、原理的に、ガスクラスターイオンの衝突の際に生じる遷移(過渡)熱の影響に依存するためである。ガスクラスターは、衝突の際に分離され、個々のガス原子は、その後自由に反射され、ターゲット表面から逸散することができる。個々のガス原子の放散により逸散されるエネルギーを除く、衝突前の活性クラスターの全エネルギーは、ターゲット表面の衝突領域に付与される。ターゲット衝突領域の寸法は、クラスターのエネルギーに依存するが、衝突するクラスターの断面寸法のオーダーに依存し、これは、例えば、1000原子からなるクラスターの場合、直径が約30オングストロームと小さい。クラスターにより搬送される全エネルギーのほとんどは、ターゲットの微小衝突領域に付与されるため、ターゲット材料の衝突サイトで、大きな熱が過渡的に生じる。過渡的な熱は、速やかに逸散され、ターゲットの内部深くへの伝達により、衝突領域からエネルギーが消失する。過渡的な熱の時間は、ターゲット材料の伝導性により定められるが、通常の場合、10-6秒未満である。
【0009】
ガスクラスター衝突サイトの近傍では、ターゲット表面の部分が、瞬間的に、数百乃至数千Kの温度に達する。例えば、10keVの全エネルギー量を搬送するガスクラスターの衝突では、激しい扇動により、表面下において、約100オングストロームにわたるほぼ半球状の領域で、瞬間的に約2000Kの温度上昇が可能になると予測される。この高い過渡的な熱により、試料とガスクラスターイオンビーム構成体の相互混合および/または反応が加速され、結果的に電気泳動寿命が改善される。
【0010】
活性ガスクラスター衝突サイトの下部のターゲット部分内での、高温の過渡的な熱の発生後、影響を受けた領域は、急激に冷却される。いくつかのガスクラスター構成体は、この処理プロセス中に逸散するが、他の部分は、後方に残留し、表面に組み込まれる。また、元の表面材料の部分は、スパッタリング等の影響により除去される。一般に、ガスクラスターの高揮発性および不活性成分がより逸散する傾向にあり、揮発性が低く、化学的反応性の高い成分は、より表面に組み込まれる傾向にある。実際の処理プロセスは、より複雑であるが、ガスクラスターの衝突サイトおよび周囲の影響を受けた領域を、「メルトゾーン」と称することが好適であり、ガスクラスター原子は、基板表面と容易に相互作用し混合し、ガスクラスター材料は、表面から逸散し、または表面から影響を受けた領域の深さ方向に導入される。「導入する」または「導入」という用語は、この処理プロセスを表すため、本発明の発明者等により使用され、これは、イオン「注入」または「注入処理」とは区別される、異なる処理プロセスであり、イオン「注入」とは大きく異なる結果が得られる。活性ガスクラスターイオン内の希ガス、例えばアルゴンおよびキセノンは、揮発性で、非反応性であり、影響を受けた領域から高い確率で逸散するのに対して、例えば炭素、ボロン、フッ素、硫黄、窒素、酸素、ゲルマニウムおよびシリコンのような材料は、より揮発性が弱く、および/または化学的結合を形成しやすい傾向にあり、影響を受けた領域に留まり、基板表面に取り込まれる傾向にある。
【0011】
例えば、これに限られるものではないが、アルゴンおよびキセノンのような不活性希ガスは、あまり揮発性のないおよび/またはより反応性の元素を含むガスと混合することができ、この場合、混合クラスターが形成される。そのようなガスクラスターは、以降に示す既存のガスクラスターイオンビーム処理装置を使用し、ガスクラスターイオンビーム発生用のソースガスとして、適当なソース混合ガスを使用することにより、または2または3以上のガス(または混合ガス)をガスクラスター発生源に供給して、これらをソース源で混合することにより、形成することができる。ボーランド(Borland)らの最近の刊行物(「導入ドーパントおよび成膜処理を用いたUSJおよび歪みSi形成」、Solid State Technology、p53、2004年3月)には、GCIB導入処理は、傾斜表面層を形成し、この層は、基板材料から表面の成膜層まで、平滑に推移することが示されている。
【0012】
従って、本発明の目的は、好ましくない電気泳動の影響に対する感度を抑制するため、選択的金属成膜キャップを使用する必要のない、相互接続構造内の銅配線をキャップ化する方法を提供することである。
【0013】
本発明の別の課題は、隣接する誘電体材料の絶縁またはリーク特性に影響することなく、相互接続構造内の銅の相互接続を有効にキャップ化する方法を提供することである。
【0014】
本発明の別の目的は、高い処理プロセス歩留まりを有し、電気泳動の影響による不具合の感度が抑制された回路用の、マルチレベルの銅相互接続を形成する方法を提供することである。
【0015】
本発明のさらに別の目的は、高い処理プロセス歩留まりで、電気泳動の不具合に対する感度が抑制された、集積回路用の改善されたキャップ化銅の相互接続層を提供することである。
【0016】
本発明のさらに別の目的は、集積回路用の銅の相互接続構造に、改良されたキャップ化を実施する改良された機器を提供することである。本発明の方法により、ガスクラスターイオンビーム処理プロセスにより、前記方法の少なくとも一つのステップを実施するように構成されたクラスターツールにおける集積処理ステップにより、好ましくないコンタミネーションを抑制することができる。
【課題を解決するための手段】
【0017】
本発明のある実施例では、
1または2以上の銅の相互接続表面と、誘電体材料を被覆するバリア層材料の1または2以上の表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つの上、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の銅の相互接続表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法が提供される。
【0018】
前記バリア層材料は、第1の厚さを有し、
前記誘導するステップは、さらに、前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、導入層を導入し、
前記導入層は、前記第1の厚さよりも薄い第2の厚さを有しても良い。当該方法は、さらに、前記導入層および前記誘電体材料を被覆する前記バリア層材料をエッチング除去するステップを有しても良い。前記エッチング除去するステップは、さらに、
前記減圧チャンバ内で、加速されたエッチング処理GCIBを形成するステップと、
前記誘電体材料を被覆する前記バリア層材料の少なくとも一つの表面に、前記加速されたエッチング処理GCIBを誘導するステップと、
を有しても良い。
【0019】
当該方法は、さらに、前記キャップ処理GCIBを形成するステップおよび誘導するステップの前に、
前記減圧チャンバ内で、加速された清浄化処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、前記加速された清浄化処理GCIBを誘導するステップと、
を有し、
前記加速された清浄化処理GCIBが前記1または2以上の表面に誘導され、前記1または2以上の表面が清浄化されても良い。前記加速された清浄化処理GCIBを形成するステップは、さらに、Ar、N2、NH3、およびH2で構成された群から選定された、少なくとも一つのガスの分子から、ガスクラスターイオンを発生するステップを有しても良い。前記加速された清浄化処理GCIBを形成するステップは、さらに、約3kVから約50kVの範囲の加速電位により、清浄化処理GCIBガスクラスターイオンを加速させるステップを有しても良い。前記加速されたキャップ処理GCIBを誘導するステップにより、前記1または2以上の銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、バリア層材料表面約1×1014から約1×1017ガスクラスターイオン/cm2の範囲の照射線量が供給されても良い。
【0020】
当該方法は、さらに、形成された前記少なくとも一つのキャップ化構造を被覆する、少なくとも一つの絶縁層を形成するステップを有しても良い。少なくとも一つの絶縁層を形成するステップは、PECVD成膜処理を利用しても良い。形成される前記少なくとも一つの絶縁層は、炭化珪素、窒化珪素、および炭窒化珪素からなる群から選定された一つの材料を有しても良い。前記少なくとも一つのキャップ化構造を被覆する少なくとも一つの絶縁層を形成するステップは、さらに、
前記減圧チャンバ内で、加速された成膜GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面に、前記加速された成膜GCIBを誘導するステップであって、前記少なくとも一つの絶縁層が成膜されるステップと、
を有しても良い。前記少なくとも一つのキャップ化構造を被覆する前記少なくとも一つの絶縁層は、誘電体拡散バリア膜であっても良い。
【0021】
本発明の別の実施例では、減圧環境で、少なくとも一つのウェハを処理するクラスターツールが提供され、当該クラスターツールは、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有しても良い。
【0022】
前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部で、銅のキャップ化処理を実施するように適合され、前記清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、誘電体拡散バリア膜を形成するように適合されても良い。
【0023】
本発明のさらに別の実施例では、減圧環境内で、少なくとも一つのウェハを処理するクラスターツールであって、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
【0024】
前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部で、銅のキャップ化処理を実施するように適合され、前記成膜チャンバは、前記少なくとも一つのウェハの少なくとも一部のキャップ化銅上に、誘電体拡散バリア膜を形成するように適合されても良い。前記成膜チャンバは、PECVD成膜チャンバであっても良い。前記GCIB処理チャンバは、銅のキャップ化処理の前に清浄化処理を実施するように適合されても良い。
【0025】
本発明のさらに別の実施例では、減圧環境内で、少なくとも一つのウェハを処理するクラスターツールであって、
当該クラスターツール内におよび/または当該クラスターツールから、前記少なくとも一つのウェハを移動させる少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
【0026】
前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記成膜チャンバは、キャップ化銅上に、誘電体拡散バリア膜を形成するように適合されても良い。前記成膜チャンバは、PECVD成膜チャンバであっても良い。前記清浄化処理チャンバは、プラズマ清浄化処理チャンバであっても良い。前記GCIB処理チャンバは、誘電体拡散バリア膜を形成する用に適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部を、清浄化するように適合されても良い。
【0027】
本発明のさらに別の実施例では、減圧雰囲気において、少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する少なくとも一つのロックと、
複数のGCIB処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツールが提供される。
【0028】
前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されても良い。前記GCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、前記GCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合され、前記GCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されても良い。
【0029】
本発明のさらに別の実施例では、1または2以上の銅の相互接続表面と、1または2以上の誘電体表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面、および前記1または2以上の誘電体表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法が提供される。
【0030】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、銅表面に導入された際に、電気絶縁材料を構成し、誘電体表面に導入された際に、電気絶縁材料を形成する元素から、ガスクラスターイオンを発生するステップを有しても良く、形成された前記少なくとも一つのキャップ化構造は、電気絶縁性キャップ化構造である。
【0031】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
銅表面に導入された際に、導電性材料を構成し、誘電体表面に導入された際に、電気絶縁材料を構成する元素から、ガスクラスターイオンを発生するステップを有し、
形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域上の導電性キャップ化構造、および前記誘電体部分の前記照射領域上の電気絶縁性キャップ化構造の少なくとも一つを有しても良い。前記加速されたキャップ処理GCIBを形成するステップは、さらに、希ガスまたは混合希ガスから、ガスクラスターイオンを発生するステップを有し、形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有しても良い。
【0032】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、Ar、Xe、またはArとXeの混合ガスから、ガスクラスターイオンを発生するステップを有し、形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有しても良い。
【0033】
本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップと、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップの後に、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料を除去するステップと、
を有する方法が提供される。前記形成するステップは、さらに、加速されたキャップ処理GCIBを形成するステップと、前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップと、を有しても良い。前記除去するステップは、加速されたエッチング処理GCIBを形成するステップと、前記バリア層材料に、前記加速されたエッチング処理GCIBを誘導するステップと、を有しても良い。
【0034】
本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
第1のビーム加速電位を用いて、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
前記第1のビーム加速電位よりも低い第2のビーム加速電位を用いて、加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記バリア層材料が除去されるステップと、
を有する方法が提供される。
【0035】
本発明のさらに別の実施例では、
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記少なくとも一つのキャップ化構造の全ては除去されずに、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料が除去されるステップと、
を有する方法が提供される。
【0036】
本発明のさらに別の実施例では、
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する集積回路相互接続層であって、
前述の方法の1または2以上のステップにより製作されることを特徴とする集積回路相互接続層が提供される。
【0037】
本発明のさらに別の実施例では、
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する集積回路相互接続層であって、
前述の方法の1または2以上のステップにより製作されることを特徴とする集積回路相互接続層が提供される。
【0038】
本発明のさらに別の実施例では、
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料を覆うバリア層材料表面に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
前記第2のGCIB処理チャンバ内で、GCIBエッチング処理を用いて、前記誘電体層から前記バリア層を除去するステップと、
を有する方法が提供される。
【0039】
当該方法は、さらに、前記形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有しても良い。前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含んでも良い。
【0040】
本発明のさらに別の実施例では、
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料上に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2の処理チャンバに、前記半導体ウェハを搬送するステップと、
前記クラスターツールの前記第2の処理チャンバ内で、誘電体膜形成処理プロセスを用いて、前記キャップ化層上に、誘電体拡散バリア膜を形成するステップと、
を有する方法が提供される。
【0041】
当該方法は、さらに、形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有しても良い。前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含んでも良い。前記クラスターツールの第2の処理チャンバは、GCIB処理チャンバであり、前記誘電体膜形成処理プロセスは、GCIB導入処理プロセスを含んでも良い。
【発明を実施するための最良の形態】
【0042】
他の目的とともに、本発明をより良く理解するため、添付図面を参照して、以下、本発明を詳細に説明する。
【0043】
図3には、従来の形態のGCIB処理機器の典型的な構成の基本素子を概略的に示す。これには、以下のものが示されている:真空容器102は、3つの連通チャンバに分割されており、これらは、ソースチャンバ104、イオン化/加速チャンバ106、および処理チャンバ108である。3つのチャンバは、それぞれ、真空ポンプシステム146a、146bおよび146cを用いて、適当な作動圧力に減圧される。第1のガス貯蔵シリンダ111には、第1の凝縮性ソースガス112(例えば、アルゴン、窒素、または予備混合された混合ガス)が保管されており、第1のガス貯蔵シリンダ111は、第1のガスシャットオフバルブ115、第1のガス計測バルブ113、およびガス供給管114を介して、圧力環境下で、停滞チャンバ116まで接続されている。第2のガス貯蔵シリンダ230には、任意の第2の凝縮性ソースガス232(例えば、二酸化炭素、酸素、または予備混合された混合ガス)が保管されており、第2のガス貯蔵シリンダ230は、任意の第2のガスシャットオフバルブ236、第2のガス計測バルブ234を介して、圧力環境下で接続されている。両方のソースガスが使用される場合、これらは、ガス供給管114および停滞チャンバ116内で混合される。停滞チャンバ116内のガスまたは混合ガスは、適正な形状のノズル110を介して、実質的に低い圧力で放出される。超音波ガスジェット118が生じる。ジェットの膨脹に起因した冷却現象により、ガスジェット118の一部は、ガスクラスターに凝集し、この各々は、数個から数千個の原子または分子の弱い結合により構成される。ガススキマー開口120は、ガスクラスタージェットから、ガスクラスタージェットに凝縮されなかったガス分子を部分的に分離し、そのような高圧力が望ましくない下流領域(例えば、イオナイザ122、高電圧電極126、および処理チャンバ108)の圧力が最小化される。適当な凝縮性ソースガス112は、必ずしもこれに限られるものではないが、アルゴン、窒素、二酸化炭素、酸素、および他のガスならびに/または混合ガスを含んでも良い。
【0044】
ガスクラスターを含む超音波ガスジェット118が形成された後、ガスクラスターは、イオナイザ122内でイオン化される。イオナイザ122は、通常、電子衝突イオナイザであり、これは、1または2以上の白熱フィラメント124から熱電子を形成し、この電子が、ガスジェット118内でガスクラスターと衝突するように電子を加速させ誘導する。その後、イオナイザ122からジェットが排出される。電子衝突により、ガスクラスターから電子が放出され、これによりガスクラスターの一部が正にイオン化される。いくつかのガスクラスターは、2以上の電子を放出し、多価にイオン化されても良い。適当にバイアス化された一組の高電圧電極126は、イオナイザからガスクラスターイオンを抽出し、ビームが形成され、その後、これらのビームは、(通常、数百Vから数十kVまでの加速電位により)所望のエネルギーまで加速され、焦点化されることにより、GCIB128が形成される。フィラメント電源136は、フィラメント電圧V1を提供し、イオナイザのフィラメント124が加熱される。アノード電源134は、アノード電圧VAを提供し、これによりフィラメント124から放射された熱電子が加速され、これらでガスクラスターを含むガスヘット118が照射され、イオンが形成される。抽出電源128は、高電圧電極をバイアス化する抽出電圧VEを提供し、これにより、イオナイザ122のイオン化領域からイオンが抽出され、GCIB128が形成される。加速器電源140は、イオナイザ122に対して高電圧電極をバイアス化する加速電圧VACCを提供し、これにより、全GCIB加速電位をVACCに等しくすることができる。1または2以上のレンズパワー供給(例えば142、144)が提供され、高電圧電極が焦点化電圧(例えばVL1およびVL2)によりバイアス化されても良く、これによりGCIB128が焦点化される。
【0045】
試料152は、半導体ウェハまたはGCIB処理プロセスにより処理される他の試料であっても良く、この試料は、GCIB128の経路に配置された試料ホルダ150に保持される。ほとんどの用途では、空間的に均一な大きな試料が処理されると想定されるため、走査システムは、大面積にわたって、GCIB128を均一に走査することが好ましく、これにより空間的に均一な結果が得られる。
【0046】
GCIB128は、静止状態であり、GCIB軸129を有し、試料152は、GCIB128を介して機械的に走査され、試料152の表面にわたって、GCIB128の影響が分配される。
【0047】
X走査アクチュエータ202は、試料ホルダ150に、X走査移動の方向208(紙面に入る方向および紙面から出る方向)に、直線的な動きを提供する。Y走査アクチュエータ204は、試料ホルダ150に、Y方向走査移動の方向210に直線的な動きを提供し、この方向は、X走査移動の方向208と直交している。X走査とY走査の組み合わせにより、GCIB128を介したラスタ状の走査移動の際に、試料ホルダ150に保持された試料152が移動し、試料152の処理用のGCIB128による、試料152の表面への均一な(またはプログラム化された)照射が可能となる。試料ホルダ150により、試料152は、GCIB128の軸に対して、傾斜配置され、これにより、GCIB128は、試料152表面に対して、ビーム入射角度206を有するようになる。ビーム入射角度206は、90゜であっても良く、あるいは他の角度であっても良いが、通常の場合、90゜か90゜近傍の角度である。Y走査の間、試料152および試料ホルダ150は、それぞれ、152Aおよび150Aで示された代替位置「A」で示された位置から移動する。2つの位置の間の移動の間、試料152は、GCIB128を介して走査され、両方の最終位置において、試料152は、GCIB128の経路から完全に外れるように移動する(オーバー走査)ことに留意する必要がある。図3には明白に示されていないが、同様の走査方式およびオーバー走査は、(通常)直交するX走査移動方向208において実施される(紙面に入り、紙面から出る方向)。
【0048】
GCIB128の経路内の試料ホルダ150の上方には、ビーム電流センサ218が設置され、このセンサは、試料ホルダ150がGCIB128の経路から外れて走査される際に、GCIB128のサンプルを遮断する。ビーム電流センサ218は、通常、ファラデーキャップ等であり、ビーム入射開口を除いて、閉止されており、通常の場合、電気絶縁性のマウント212を用いて、真空容器102の壁に設置される。
【0049】
制御器系のマイクロコンピュータであっても良い制御器220は、電気ケーブル216を介してX走査アクチュエータ202およびY走査アクチュエータ204に接続され、X走査アクチュエータ202およびY走査アクチュエータ204を制御し、試料152をGCIB128内または外に配置しこれにより、試料152は、GCIB128に対して均一に走査され、GCIB128により、試料152に所望の処理プロセスが行われる。制御器220は、リード214により、ビーム電流センサ218により収集されたサンプル化ビーム電流を受容し、これにより、予め定められた所望の線量が供給されている際に、GCIB128から試料152を除去することにより、GCIBがモニタされ、試料152が受けるGCIB線量が制御される。
【0050】
図4Aには、本発明の第1の実施例によるGCIB導入処理を用いた、銅の相互接続キャップ化処理の配線構造500を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。この方式には、第1の銅配線層502と、第2の銅配線層504と、2つの銅層を接続する銅ビア構造506とを支持する基板501が示されており、各層は、従来の技術を用いて形成されても良い。基板501は、通常、半導体基板であり、電気的な相互接続が必要となるアクティブおよび/またはパッシブ素子を有する(可能な場合、下側相互接続レベルを含む)。両方の銅配線層502および504の側壁および底部、ならびにビア構造506は、TaN/Taまたは他の従来のバリア層512で囲まれており、このバリア層は、従来の技術を用いて構成されても良い。第1の層間誘電体層508および第2の層間誘電体層510は、銅配線層と他の部材との間に、電気的な絶縁を提供し、これらの層は、従来の技術を用いて形成されても良い。第1の銅配線層502の上部表面、第1の中間誘電体層508の上部表面、第2の銅配線層の上部表面504、および第2の層間誘電体層510の上部表面は、全てGCIB処理プロセスによりキャップ化され、キャップ膜514、516および518が形成される。別個のGCIBキャップ化処理が、各相互接続レベルの上部表面で実施されることが好ましい。従来のジュアルダマスク相互接続では、層間誘電体層内の溝およびビアの形成後、およびその後の相互接続配線およびビアを形成する銅の成膜後、通常、露出された銅および層間誘電体層材料の表面に対して、化学機械研磨処理(CMP)技術を用いて、平坦化ステップが実施される。CMP技術および後CMPブラシ清浄化処理プロセスの両方の際に、被研磨表面には腐食インヒビターが使用され、これは、キャップ層の形成の直前のin-situの清浄化処理により、銅および誘電体の表面から(他のコンタミネーション物質とともに)除去されることが好ましい(ここで使用される「in-situ」とは、清浄化とキャップ化ステップの間に、大気圧に戻すことなく、キャップか成膜が実施される場合と同じ減圧雰囲気で、清浄化が行われ、清浄化ステップとキャップ化ステップの間に、清浄化表面に再コンタミネーションが生じる機会が抑制されることを意味する)。
【0051】
PECVD反応器は、通常、絶縁キャップ化層の成膜の前に、銅表面の有効なin-situ清浄化処理を行うようには構成されていない。PECVDシステムとは異なり、例えば、処理機器100のようなGCIB処理システムは、簡単であり、通常、後続する清浄化処理およびキャップ化処理をin-situで実施するように構成される。従来の乾式清浄化処理プロセス、または好ましくは前述のGCIB処理プロセスのような処理のいずれかが実施され、露出銅および層間誘電体層の表面が清浄化された後、好ましくはin-situのGCIB導入処理が実施され、平坦化表面が(銅と露出層間誘電体層において同時に)キャップ化される。
【0052】
図4Bには、配線構造500の予備段階500Bを示す。示された段階では、相互接続レベルは、基板501上に形成される。相互接続レベルは、第1の層間誘電体508を有し、この誘電体は、従来の技術を用いて基板上に成膜される。第1の層間誘電体508内には、従来の溝およびビアが形成され、これらは、従来のバリア層512で囲まれている。溝およびビア内には、従来の技術を用いて銅が成膜される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第1の銅配線層502と第1の層間誘電体層508の上部表面は、残留コンタミネーション物質503を有する。この段階、および後続の各相互接続レベルの対応する段階(2以上の相互接続レベルを仮定した場合)では、好ましくはin-situで、例えば従来の乾式清浄化処理プロセス、プラズマ清浄化処理プロセス、またはGCIB清浄化処理プロセスが実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合ガスのようなガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップと、約5×1013から約5×1016イオン/cm2の範囲の全ガスクラスターイオン線量で、好ましくは約3kVから約50kVの範囲のビーム加速電位VACCを用いるステップとを有する。本発明は、これらのガスの例に限定されるものではなく、CMP後残留物、酸化銅、および他のコンタミネーション物質を銅表面から除去する他のガスまたは混合ガスで実施し得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理プロセスは、in-situの清浄化処理であることが好ましい。
【0053】
図4Cには、GCIB清浄化処理プロセスに続く配線構造500の段階500を示す。第1の銅配線層502および第1の層間誘電体層508の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。この段階、および各後続の相互接続レベルの対応する段階(2以上の相互接続レベルを仮定した場合)では、GCIBキャップ化処理が実施される。GCIBキャップ化処理は、元の露出誘電体および/または銅表面に導入する際に、絶縁材料を形成する元素で構成されたGCIBを用いて、第1の銅配線層502および/または第1の層間誘電体層508の上部表面を照射するステップを有する。例えば、C、N、O、Si、B、Ge、またはこれらの混合物で構成されたガスクラスターイオン元素を有するGCIBが好ましく、これは、銅の上に、例えば、Si3N4、SiCN、CuCO3、BNのような傾斜キャップ化膜を形成する。銅および/または隣接する絶縁体への導入の際に、適当な誘電体材料を形成する他の元素および組み合わせを、利用しても良い。例えば、CH4、SiH4、NH3、N2、CO2、B2H6、GeH4、およびこの混合物のようなソースガスを使用しても良い。そのようなガスを使用することにより、あるいはこれらを、例えばArもしくはXeのような不活性ガスと混合することにより、それらの純粋な形態のクラスターイオンが形成される。図3のGCIB処理装置100を参照すると、約3kVから約50kVの範囲であるビーム加速電位VACCが使用され、導入が起こる全ガスクラスターイオン線量は、約1×1014から1×1017イオン/cm2の範囲である。
【0054】
図4Dには、GCIBキャップ化処理に続く配線構造500の段階500Dを示す。銅表面および/または隣接する層間誘電体層表面上には、GCIB処理の特徴である衝突エネルギーおよび過渡熱が銅配線の上部表面および/またはGCIBに露出された隣接する層間誘電体層構造に導入され、それぞれ、キャップ化層514および516が形成される。キャップ化層514および516は、各々、必要に応じて、追加で、誘電体バリア膜として機能する上部層部分を有しても良い。形成処理の初期の部分の間、銅表面に、混合銅/GCIB化学種組成の傾斜層514Aが導入される。この混合層は、いかなる後続の成膜誘電体バリア膜514Bと下地銅の間にも、傾斜界面を提供し、これにより、界面での銅の拡散が抑制され、電気泳動寿命が向上する。その後成膜される誘電体バリア層514Bは、従来のPECVDにより、別個に、追加で成膜された膜であっても良いが、これは、GCIBキャップ化導入ステップの続きとして、GCIBにより成膜されることが好ましく、導入プロセスから純粋な成膜処理へと(高い線量で)処理が進行するまで、最初に混合傾斜層を形成する、単なるキャップ化GCIB照射処理が継続し、銅の導入表面で混合層上に誘電体材料が成膜される。初期に導入される混合傾斜層514Aは、キャップ化層として機能し、連続したGCIB照射により、誘電体材料の追加の成膜が行われ、成膜誘電体膜514Bが形成される。これは、混合傾斜層により、銅相互接続に一体化された誘電体膜を形成し、その結果、優れた電気泳動寿命を含む、改良された界面特性が得られる。キャップ化層514を形成するものと同じ(または異なる)キャップ化GCIBにより、層間誘電体層508上に、キャップ化層516が形成されることが好ましい。キャップ化層514のように、キャップ化層516は、バイレイヤであっても良い。キャップ化層516は、最初に、表面で、混合誘電体/GCIB化学種組成の混合傾斜層を形成し、連続GCIB処理により、または追加の別個の(例えばPECVD)成膜により、誘電体バリア膜が成膜されても良い。例えば、誘電体バリア膜514Bが、拡張GCIB処理により構成されない場合、または特に厚い誘電体バリア膜514Bが必要な場合、導入キャップ化層514またはキャップ化層516は、必要に応じて、PECVDによるSi3N4、SiCN、SiCのような従来の絶縁層で被覆されても良く、これにより、追加銅拡散バリア用の、またはビアエッチング停止特性を有する誘電体バリア膜が提供される。キャップ化処理および誘電体バリア膜の形成に続いて、必要な場合、従来の技術を用いて、相互接続の追加のレベルが加えられる。
【0055】
図4Eには、第1のGCIBキャップ化相互接続レベル(誘電体バリアを含む)上の第2の相互接続レベルの設置に続く、配線構造500の段階500Eを示す。この段階では、キャップ化層514および516上に、第2の相互接続レベルが形成される。第2の相互接続レベルは、第2の層間誘電体層510で構成され、これは、従来の技術を用いて、キャップ化層514および516上に成膜される。第2の層間誘電体層510内には、溝およびビアが形成され、溝およびビアは、バリア層512を囲み、従来の技術を用いて、溝およびビア内に、銅が成膜される。この構造の上部表面は、例えばCMPのような従来の処理により、平坦化され清浄化される。第2の銅配線層504および第2の層間誘電体層510の上部表面は、残留コンタミネーション物質505を有するように示されている。第2の相互接続レベル(存在する場合)および後続のより高い相互接続レベル(存在する場合)では、配線構造500のため、前述のGCIB清浄化およびGCIB導入ステップが適用され、(例えば)図4Aに示したようなキャップ化膜518が形成される。これにより、所望の、2または複数のレベルの相互接続構造が形成される。
【0056】
このように、示された技術では、電気泳動が抑制されるとともに、選択金属キャップ化処理に関連する、好ましくない副次的な影響が回避される。誘電体表面では、導入層および誘電体は、絶縁性を維持し、極めて薄い導入層では、層の全体の誘電率に対する影響、および層間キャパシタンスに対する影響を無視することができる。
【0057】
図5Aには、本発明の第2の実施例による、GCIB導入および成膜を用いた、銅の相互接続キャップ化処理の配線構造600を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。この図には、第1の銅配線層602、第2の銅配線層604、および2つの銅層を接続する銅ビア構造606を支持する基板601が示されており、これらの層およびビア構造の各々は、従来の技術を用いて構成される。基板601は、通常の場合、半導体基板であり、これは、電気的相互接続が必要なアクティブおよび/またはパッシブ素子(可能な場合、下側相互接続レベルを含む)を有する。両銅配線層602および604の側壁および底部、ならびにビア構造606は、従来の技術で形成された、TaN/Taまたは他のバリア層612で囲まれる。第1の層間誘電体層608および第2の層間誘電体層610は、銅配線層の間に電気的絶縁を提供し、これは、従来の技術で形成されても良い。しばしば、層間誘電体層608および610は、多孔質であることが好ましく、これにより、誘電特性が向上する。そのような場合、層間誘電体層は、必要に応じて、例えば、それぞれ、第1のハードマスク層609および第2のハードマスク層611のような、ハードマスク層上に成膜されても良く、この各々は、SiO2、SiCまたはSi3N4のような材料で構成され、この各々は、従来の技術を用いて成膜されても良い。第1の銅配線層602、第1の層間誘電体層608(または必要に応じて、存在する場合、第1のハードマスク層609の上部表面)、第2の銅配線層604、および第2の層間誘電体層610(または必要に応じて、存在する場合、第2のハードマスク層611の上部表面)の上部表面は、全て、GCIB処理により、キャップ化され、キャップ化層614、616、618、620が形成される。この第2の実施例は、GCIBガスクラスターイオンに含まれる元素が、導入化学種が銅表面(銅キャップ膜614および618)に導電性を保持するように選定される点で、第1の実施例と異なっている。しかしながら、導入元素は、各相互接続レベルの誘電体領域(層間誘電体またはハードマスクキャップ膜610、620)の、層間誘電体層および/または誘電体ハードマスク材料の表面に導入された際に、同じ元素により、絶縁膜が形成されるように選定されても良い。改良された誘電体の拡散バリア(第1の相互接続レベル用のバリア膜622、および第2の相互接続レベル用のバリア膜624)は、GCIB成膜法により形成されることが好ましいが、これは、従来の技術で構成されても良い。そのようなバリア膜では、GCIB導入ステップの拡散バリア特性、およびビアエッチング停止特性がさらに向上する。
【0058】
GCIB導入処理は、銅および層間誘電体キャップを形成するため、各相互接続レベルの上部表面に適用することが好ましい。前述のように、GCIBのin-situ清浄化処理を用いて、その後の同相互接続および層間誘電体のCMP平坦化が行われる。図5Gには、配線構造600Gを示すが、層間誘電体層608、610は、上部表面に、ハードマスク層609、611を有さない。以下、配線構造600Gを構成する処理について説明する。
【0059】
図5Bには、配線構造600Gの予備段階600Bを示す。基板601上に形成された相互接続レベルは、従来法で成膜された第1の層間誘電体層608で構成され、この層内には、溝およびビアが形成され、これらは、バリア層612で囲まれている。溝およびビア内には、従来の技術で銅が成膜される。この構造の上部表面は、平坦化され、清浄化される。第1の銅配線層602および第1の層間誘電体層608の上部表面は、残留コンタミネーション物質603を有するように示されている。この段階、および各後続の相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、in-situであることが好ましい、例えばプラズマ清浄化処理のような従来の乾式清浄化処理、またはGCIB清浄化処理が実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合物のガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップを有し、約3kVから約50kVの範囲であることが好ましい、ビーム加速電圧VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限定されるものではなく、銅表面から、CMP後の残留物、銅酸化物、および他のコンタミネーション物質を除去する他のガスまたは混合ガスを用いて実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situ清浄化処理であることが好ましい。
【0060】
図5Cには、GCIB清浄化ステップに続く、配線構造600Gを構成する中間段階600Cを示す。第1の銅配線層602および第1の層間誘電体層608の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。この段階で、および各後続の相互接続レベルの各段階(2以上の相互接続レベルを仮定した場合)で、清浄化された上部表面に、GCIBキャップ化処理が適用されても良い。(好ましくはin-situで)GCIB導入処理を用いて、平坦表面(銅および/または露出層間誘電体層)が同時に(または、別個のキャップ化GCIBにより、交互に)キャップ化される。GCIBキャップ化処理は、銅表面への導入処理の際に、導電性材料を構成する元素のGCIBを用いて、第1の銅配線層602および第1の層間誘電体層608の上部表面に照射するステップを有するが、この元素は、層間誘電体表面への導入の際に、電気的絶縁材料を構成しても良い。また、これらの導電性元素は、銅に対して高い固体溶解度を有さないものから選定され、これによりその導電性の悪影響が回避される。これに限られるものではないが、BまたはTiを含むガスクラスターイオンを有するGCIBが適しており、これに限られるものではないが、SiO2、SiC、SiCN、SiCOH等の適当な誘電体ハードマスク材料と組み合わされ、絶縁酸化物、炭化物あるいは窒化物が形成されても良い。BおよびTiを含むいくつかの適当なソースガスは、これに限られるものではないが、B2H6、TiCl4、テトラジエチルアミノチタン(TDEAT)、およびテトラジメチルアミノチタン(TDMAT)を含んでも良い。これらのガスは、純粋な形態で使用しても良く、あるいは例えば、ArまたはXeのような不活性ガスと混合して使用しても良い。誘電体表面では、例えば、TiO2およびホウケイ酸塩ガラスの傾斜膜のような形態であるのに対して、銅表面上では、これらは、例えば、ボロンおよびチタンの傾斜膜の形態であっても良い。あるいは、これに限られるものではないが、Ar、Xe、他の希ガス、またはこれらの混合ガスのような不活性ガス−クラスターイオンのみを含むGCIBを用いた銅表面の物理的な変化により、傾斜キャップ膜を形成しても良い。この場合、銅のキャップ化構造は、物理的に改質された銅層であり、これは、元から傾斜されており、導電性を有し、層間誘電体層内に形成された物理的に改質された層は、絶縁性である。例えばAr、Xe他の希ガス、またはこれらの混合ガスのような不活性ガスクラスターイオンのみを含む、これらの代替GCIBは、導入層を形成しないが、その代わり、銅に有効なキャップ化構造が得られ、誘電体の絶縁状態を維持するように、表面を物理的に変化させる。従って、この効果は、GCIBキャップ化処理が、導電性材料を構成する元素であって、導入の際に、銅表面に導電性材料が形成される元素を有するGCIBにより、銅および層間誘電体層の上部表面への照射ステップを有する場合と同様である。あるいは、表面への新たな化学種の導入が生じなくても、層間誘電体表面への導入の際に、電気絶縁材料が形成される。図3のGCIB機器100を参照すると、約3kVから約50kVの範囲であることが好ましいビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約1×1014から約1×1017イオン/cm2の範囲である。銅および誘電体表面では、GCIB導入処理の衝突エネルギーにより、高温過渡領域が形成され、これにより、導入化学種と誘電体もしくは誘電体ハードマスク層との相互混合および/または反応が促進され、(または層間誘電体層またはハードマスク上で)新たな絶縁材料が形成され、銅配線表面上に、導入導電性膜が形成され、銅の界面拡散が抑制され、電気泳動寿命が改善される。従って、単一のGCIBキャップ化導入ステップでは、図5Dに示すように、第1の銅配線層602上に、導電性キャップ膜614が形成され、第1の層間誘電体層608上には、電気絶縁性キャップ膜616が形成される。
【0061】
図5Eには、GCIBキャップ化ステップに続く、配線構造600Gを構成する段階600Eを示す。GCIB処理は、この段階および後続の相互接続レベルの各段階において、上部表面で実施され、誘電体拡散バリア膜が形成される。誘電体拡散バリア膜622は、炭窒化珪素で構成されることが好ましいが、これは、窒化珪素、炭化珪素、または他の誘電体膜であっても良い。これは、従来のPECVD法により成膜されても良いが、キャップ膜(614および616)の表面に照射することにより、成膜されることが好ましく、この上には、導入の際に絶縁材料を構成する元素で形成されたGCIBにより、バリア膜622が成膜される。例えば、C、N、Siおよびこれらの組み合わせのようなガスクラスターイオン元素を有するGCIBが適しており、これにより、例えば、Si3N4、SiCN、SiCのような拡散バリア膜が銅上に形成される。C、NおよびSiを含むソースガスには、これに限られるものではないが、CH4、SiH4、NH3、N2が含まれる。そのようなガスを用いて、ガスクラスターイオンが形成され、純粋なガス、またはこれらと、例えばArもしくはXeのような不活性ガスの混合ガスのいずれかにより、成膜が行われる。約3kVから約50kVの範囲であるビーム加速電位VACCを使用することが好ましく、全ガスクラスターイオン線量は、約1×1014から約1×1017イオン/cm2の範囲である。図5Fには、配線構造600Gを構成する際の段階600Fを示すが、図において、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)およびバリア膜622上には、第2の相互接続レベルが追加される。第2の相互接続レベルは、バリア膜622上に設置された第2の層間誘電体層610で構成され、この層内には、溝およびビアが形成され、これらは、バリア層612で囲まれる。溝およびビア内には、従来の技術により、銅が成膜される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第2の銅配線層604および第2の層間誘電体層610の上部表面は、残留コンタミネーション物質を有するように示されている。第2の相互接続レベルの上部表面および後続のより高い相互接続レベル(必要な場合)には、前述のようなGCIB清浄化ステップ、GCIB導入ステップおよびGCIB成膜ステップが適用され、配線構造600Gが形成される。これらの処理ステップの結果、キャップ膜618、620およびバリア膜624が形成される。これにより、所望の図5Gの2つの相互接続レベル構造または複数レベルの相互接続構造が形成される。
【0062】
図5Hには、図5Aに完全に示すような、(ハードマスク層609および611を有する)配線構造600を構成する際の予備的段階600Hを示す。基板601上に形成された第1の相互接続レベルは、従来の技術を用いて基板上に成膜された、第1の層間誘電体層608を有する。従来の技術で形成されたハードマスク層609は、第1の層間誘電体層608の上部表面を被覆する。第1の層間誘電体層608内には、溝およびビアが形成され、これらはバリア層612で囲まれており、溝およびビア内には、銅が成膜される。この構造の上部表面は、従来の清浄化処理プロセスを用いて、平坦化され清浄化される。第1の銅配線層602およびハードマスク層609の上部表面は、残留コンタミネーション物質605を有するように示されている。この段階、および各後続の相互接続レベルの対応する段階において、上部表面には、前述のような、GCIB清浄化処理プロセスが実施されることが好ましい。これは、本発明の本質ではないが、GCIB清浄化処理プロセスは、in-situの清浄化処理プロセスであることが好ましい。
【0063】
図5Iには、GCIB清浄化処理に続く、配線構造600を構成する処理における段階600Iを示す。第1の銅配線層602およびハードマスク層609の上部表面は、コンタミネーション物質が除去され、キャップ化ステップのための準備がなされる。この段階および各後続の相互接続レベルの各対応する段階において、上部表面には、前述のような、GCIB清浄化処理が実施されても良く、これにより、キャップ化層614および616が形成される(図5J)。この実施例では、キャップ化層616は、第1の層間誘電体層608の直上ではなく、ハードマスク層609上に形成される。
【0064】
図5Jには、キャップ化層614および616を形成するステップに続く、配線構造600を構成する処理における段階600Jを示す。前述のように、この段階および各後続の相互接続レベルの各対応する段階の上部表面に、GCIB処理プロセスが適用され、キャップ化層614、616上に、誘電体拡散バリア膜622が形成されても良い。
【0065】
図5Kには、バリア膜622の成膜に続く、配線構造600を構成する処理における段階600Kを示す。
【0066】
図5Lには、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)上に、第2の相互接続レベルを追加した後の、配線構造600を構成する処理における段階600Lを示す。この段階では、第2の相互接続レベルは、バリア膜622上に形成される。第2の相互接続レベルは、第2の層間誘電体層610で構成され、この層は、従来の技術を用いて、バリア膜622上に成膜される。従来の技術により形成されたハードマスク層611は、第1の層間誘電体層610の上部表面を被覆する。第2の層間誘電体層610内には、従来の溝およびビアが形成され、この溝およびビアは、従来のバリア層612で囲まれ、溝およびビア内には、従来の技術で銅が設置される。この構造の上部表面は、従来の処理プロセスを用いて、平坦化され清浄化される。第2の銅配線層640およびハードマスク層611の上部表面は、残留コンタミネーション物質613を有するように示されている。第2の相互接続レベル(必要な場合)、および後続のより高い相互接続レベル(必要な場合)には、前述のような配線構造600用のGCIB導入ステップおよびGCIB成膜ステップが実施され、(例えば)キャップ膜618、620が形成され、バリア膜624が形成される(図5A)。このように、所望の、図5Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。
【0067】
このように、示された技術により、電気泳動が抑制されるとともに、選択金属キャップ化処理に関連した、好ましくない副次的な影響が回避される。誘電体表面では、キャップ化処理の後も、誘電体は、絶縁を維持しており、極めて薄い絶縁層により、誘電率に及ぼす影響が無視される。
【0068】
図6Aには、本発明の第3の実施例による、GCIB導入処理を用いた、銅の相互接続キャップ化処理の配線構造700を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。第1の銅配線層702と、第2の銅配線層704と、2つの銅層を接続する銅ビア構造706とを支持する基板701が概略的に示されており、これらの各々は、従来の技術を用いて形成されても良い。基板701は、通常、半導体基板であり、これは、電気的相互接続が必要なアクティブおよび/またはパッシブ素子を有する(可能な場合、下側相互接続レベルを含む)。両方の銅配線層702および704の側壁および底部、ならびにビア構造706は、バリア層712で囲まれ、これは、従来の技術で構成されても良い。第1の層間誘電体層708および第2の層間誘電体層710は、銅配線の間に、電気的絶縁を提供し、これらは、従来の技術を用いて形成されても良い。第1の層間誘電体層708は、上部表面709を有し、第2の層間誘電体層710は、上部表面711を有する。以下に詳細を示すように、従来の成膜法により成膜された各銅配線相互接続レベルでは、最初バリア層712が層間誘電体層708、710の上部表面709、711を被覆する(図6B)。本発明のこの実施例では、以降に示すGCIB処理プロセスにより、上部表面709、711からバリア層712の材料が除去されるため、図6Aに示す完全な構造では、これは、これらの表面上に視認されない。第1の銅配線層702の上部表面および第2の銅配線層704の上部表面は、GCIB処理プロセスによりキャップ化され、導入キャップ膜713および715が形成される。導入銅キャップ膜713、715、および隣接するそれぞれの層間誘電体層708、710は、必要な場合、それぞれ、誘電体バリア膜714および716でキャップ化されても良く、改良された銅拡散バリアおよびエッチング停止特性が得られる。誘電体バリア膜714および716は、炭窒化珪素であることが好ましいが、窒化珪素、炭化珪素、または適当な誘電体であっても良く、これは、PECVDのような従来の技術で成膜され、あるいは好ましくはGCIB成膜法により成膜される。
【0069】
図6Bには、配線構造700を構成する処理の際の予備段階700Bを示す。図に示す段階では、基板701上に、相互接続レベルが形成される。相互接続レベルは、基板上に成膜された第1の層間誘電体層708で構成される。第1の層間誘電体708内には、溝およびビアが形成され、これらは、バリア層712で囲まれる。溝およびビア内には、銅が成膜される。最初バリア層712は、層間誘電体層708の上部表面709を被覆する。従来のCMP法により、銅の上部負荷(overburden)が除去され、これは、バリア層712の材料上で停止される。また、バリア層材料に比べて、銅を著しく高速度で選択的に除去するように選定された、従来のCMP処理条件を用い、例えば、バリア材料に比べて、銅を選択的に除去する高選択性スラリを用いることにより、銅は、図に示すように、バリア層712の表面より下側に僅かに窪むようになる。表面は、従来の処理プロセスを用いて清浄化される。第1の銅配線層702およびバリア層712の上部表面は、残留コンタミネーション物質703を有するように示されている。この段階および各後続の相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、in-situであることが好ましい、例えば、プラズマ清浄化処理プロセスのような従来の乾式清浄化処理プロセス、またはGCIB清浄化処理が実施されても良い。GCIB清浄化処理は、Ar、N2、NH3、H2または混合ガスの気体分子からなるGCIBクラスターイオンにより、被清浄化表面を照射するステップを有し、約3kVから約50kVの範囲のビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限られるものではなく、銅表面から、CMP後の残留物、銅酸化物、および他のコンタミネーション物質を除去する他のガスまたは混合ガスにより実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situの清浄化処理であることが好ましい。
【0070】
図6Cには、GCIB清浄化ステップに続く、配線構造700を構成する処理の際の段階700Cを示す。第1の銅配線層702およびバリア層712の上部表面は、コンタミネーション物質が除去され、キャップ化ステップ用の準備がなされる。GCIBキャップ化処理が、適用されても良い。(好ましくはin-situの)GCIBエッチング処理および導入キャップ化処理を用いて、第1の銅配線層702の表面がキャップ化されると同時に、上部表面709を覆うバリア層712が除去されても良い。GCIBエッチング処理およびキャップ化処理は、銅表面に導入された際に、キャップ化材料を構成する元素からなるGCIBを用いて、銅配線層702および第1の層間誘電体708の上部表面を照射するステップを有する。この元素は、エッチングバリア層712の材料であっても良い。GCIB照射は、上部表面709の露出バリア層712の材料をエッチング除去するとともに、キャップ化化学種を第1の銅配線層702に導入し、キャップ膜713が形成される。ソースガスは、フッ素および/または硫黄のような元素を含み、これに限られるものではないが、GCIBの形成の際には、SF6、CF4、C4F8、またはNF3が使用される。これらのガスを使用し、純粋なガスを用いて、あるいはこれとN2または例えばAr、Xeのような不活性ガスとを混合したガスを用いて、導入用のガスクラスターイオンが形成される。そのような導入処理により、例えばCuF2のような銅キャップ膜が形成される。ビーム加速電位VACCは、約10kVから約50kVの範囲であることが好ましく、ノズルガスの流速は、約200sccmから約3000sccmの範囲であっても良い。例えば、バリア層材料をエッチングし、銅のキャップ膜の形成に好ましい処理プロセスでは、N2と10%NF3の混合ソースガスが、700sccmの流速で使用される。GCIBエッチングおよび導入処理プロセスは、全てのバリア層材料が除去されるまで継続され、その結果、第1の層間誘電体層708の比較的変化の少ない上部表面709が得られるとともに、キャップ膜713が導入された銅表面が得られる。上部表面709は、処理の大部分の間、バリア層712の材料により、GCIBから保護されているため、上部表面709には、僅かの影響しかない。
【0071】
図6Dには、GCIBエッチングおよび導入ステップに続く、配線構造700を構成する処理の際の段階700Dを示す。第1の銅配線層702の上部表面は、キャップ化層713でキャップ化され、バリア層712は、エッチング除去され、第1の層間誘電体層708の上部表面709が残留する。この構造は、誘電体バリア膜の形成のため準備される。GCIB処理プロセスを実施して、前述のバリア膜622を成膜するとこと同じ方法により、キャップ化層713上、および第1の層間誘電体層708の上部表面709上に、誘電体拡散バリア膜714を形成しても良い。
【0072】
図6Eには、誘電体拡散バリア膜714の形成に続く、配線構造700を構成する処理の際の段階700Eを示す。
【0073】
図6Fには、第1のGCIBキャップ化相互接続レベル(誘電体バリア膜を含む)上に、第2の相互接続レベルの配線構造700を構成する処理の際の段階700Fを示す。この段階では、バリア膜714上に、第2の相互接続レベルが形成される。第2の相互接続レベルは、第2の層間誘電体層710で構成され、この層は、バリア膜714上に成膜される。第2の層間誘電体層710内には、溝およびビアが形成され、これらは、バリア層712で囲まれる。溝およびビア内には、従来の技術を用いて、銅が成膜される。最初バリア層712は、層間誘電体層710の上部表面を被覆する。従来のCMP処理により、銅の上部負荷が除去され、これは、バリア層712の材料上で停止される。また、バリア層材料よりも速い速度で銅を優先的に除去するように選定された、従来のCMP処理条件を用いて、例えば、バリア材料に比べて銅を選択的に除去する高選択性のスラリを用いることにより、銅は、図に示すように、バリア層712の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスを用いて清浄化される。第2の銅配線層704およびバリア層712の上部表面は、残留コンタミネーション物質717を有するように示されている。第2の相互接続レベル(必要な場合)および後続の高相互接続レベル(必要な場合)では、配線構造700の第1の相互接続レベルのため、前述のようなGCIB清浄化ステップ、GCIB(エッチングおよび導入キャップ化ステップ)およびGCIB成膜ステップが適用され、(例えば)キャップ膜715およびバリア膜716が形成される。また、所望の、図6Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。
【0074】
前述の配線構造700に示した、CMP銅上部負荷の除去に続き、露出バリア層材料が好ましくない空間的に非均一的な厚さを有する場合、必要に応じて、補償的な方法により、GCIBエッチングが実施され、空間的不均一性が緩和される。従来の金属膜マッピング装置(例えば、米国ニュージャージー州07836フランダースルドルフロード、ルドルフテクノロジー社から市販されている、ルドルフテクノロジー、METAPULSE(登録商標)-II金属膜測定システム、)を用いた、試料ウェハの表面にわたるバリア層の厚さの第1のマップ化処理により、その後、前述のような、補償エッチングされるバリア層のエッチングを行うことが可能となり、バリア層材料がより厚いときには、より大きなエッチングが得られ、バリア層材料がより薄いときには、より損失の少ないエッチング処理が可能となり、バリア層材料の初期の厚さにより、オーバーエッチングされる恐れのある領域において、下側の層間誘電体を除去する量を最小限に抑制することができる。この空間的に補償されたエッチング処理は、測定されたバリア層厚さマップを、Allenらの米国特許第6,537,606号(’606特許)に示されている技術と組み合わせて用いることにより行われ、この文献は、本願の参照として取り入れられている。エピオン社(Epion Corporation)のnFusuion(登録商標)GCIB処理システム(Epion Corporation、マサチューセッツ州ビレリカ)のようなガスクラスターイオンビーム処理装置は、前述の’606特許に記載の技術を用いて、測定マップから、自動補償エッチングする機能を有し、これは、市販されている。
【0075】
本発明のこの実施例では、バリア層エッチングおよび銅キャップ化処理の双方は、GCIB処理プロセスを用いた単一のステップで実施されることが好ましく、これにより、前述のように、両方同時に処理される。また、いくつかの環境で、別個のGCIB処理プロセスステップで、各ステップにおいて異なる特性のGCIBを用いて、バリア層エッチングと銅のキャップ化処理とを行うことも可能であり、有益である。そのような場合、図6Cに示す段階に到達すると、最初に、GCIBエッチング処理により、GCIBキャップステップの前に、層間誘電体層708の上部表面709を被覆しているバリア層材料が除去される。次に、GCIBエッチングステップが行われ、図6Gに示す構造が現れ、この構造は、銅配線層および層間誘電体層のキャップ化処理のため準備され、前述の本発明の各種実施例に示されたGCIBキャップ化処理を用いて、これらのキャップ化が行われる。各相互接続レベルでは、好ましいエッチング処理ステップは、フッ素を含むようなソースガス、例えばこれに限られるものではないが、SF6、CF4、C4F8、またはNF3を含むようなソースガスから構成されるGCIBクラスターイオンで被清浄化表面に照射することである。これらのガスを使用して、ガスクラスターイオンが形成され、純粋なガスまたはこれとN2または例えば、Ar、Xeのような不活性ガスの混合ガスのいずれかを用いて、エッチング処理が行われる。ビーム加速電位VACCは、約10kVから約50kVの範囲のものが使用されることが好ましく、ノズルガス流量には、約200sccmから約3000sccmの範囲のものが使用されても良い。例えば、バリア層材料のエッチング処理に工程な処理では、N2と10%NF3の混合ソースガスが使用され、流速は、700sccmである。必要な場合、GCIBエッチングステップは、前述のような補償エッチングステップであり、バリア層材料の厚さ内の初期の空間不均一性が補償される。
【0076】
図7Aには、本発明の第4の実施例による、GCIB導入処理を用いた、銅の相互接続キャップ化の配線構造800を概略的に示す(例えば、これに限られるものではないが、2つの銅配線層相互接続レベルが示されている)。図には、第1の銅配線層802と、第2の銅配線層804と、2つの銅層を接続する銅ビア構造806とを支持する基板801が概略的に示されており、これらの各々は、従来の技術で形成されても良い。基板801は、通常、アクティブおよび/またはパッシブ素子を有する半導体基板であり(可能な場合、下側相互接続レベルを含む)、これらは電気的に相互接続される必要がある。両方の銅配線層802、804の側壁および底部、ならびにビア構造806は、バリア層812で囲まれており、これは、従来の技術で構成されても良い。第1の層間誘電体層808および第2の層間誘電体層810は、銅配線層の間に電気絶縁性を提供し、これは、従来の技術で構成されても良い。第1の層間誘電体層808は、上部表面809を有し、第2の層間誘電体層810は、上部表面811を有する。以下に詳細を示すように、従来の技術で成膜される各銅配線相互接続レベルでは、バリア層812は、最初、層間誘電体層808、810の上部表面809および811を被覆する。本発明のこの実施例では、バリア層812の材料は、上部表面809、811から除去され、従って、図7Aに示す完全な構造では、これらの表面に視認されない。上部表面809、811からのバリア層812の材料の除去は、本願に示したGCIB処理プロセス、または従来の方法により行われることが好ましい。第1の銅配線層802の上部表面および第2の銅配線層804の上部表面は、GCIB処理プロセスによりキャップ化され、導入キャップ膜813、815が形成される。導入同キャップ化膜813、815,および隣接する層間誘電体層808、810は、それぞれ、必要な場合、誘電体バリア膜814および816でキャップ化されても良く、これにより、改善された銅拡散バリアおよびビアエッチング停止特性が得られる。誘電体バリア膜814および816は、炭窒化珪素であることが好ましいが、窒化珪素、炭化珪素、または他の適当な誘電体であっても良く、これには、PECVDのような従来の成膜技術、あるいは好ましくは、GCIB成膜法が適用される。
【0077】
図7Bには、配線構造800を構成する処理の際の予備段階800Bを示す。示された段階では、基板801上に、相互接続レベルが形成されている。相互接続レベルは、基板上に成膜された、第1の層間誘電体層808で構成される。第1の層間誘電体層808内には、溝およびビアが形成され、これらはバリア層812で囲まれる。溝およびビア内には、銅が成膜される。バリア層812は、最初、層間誘電体層808の上部表面809を被覆する。従来のCMP法により、銅の上部負荷が除去され、これは、バリア層812の材料の上で停止される。また、バリア層材料に比べて、銅をより大きな速度で優先的に除去するように選定された、従来のCMP処理条件を用いて、例えば、バリア材料に比べて銅を選択的に除去する高選択性スラリを用いることにより、銅は、図に示すように、バリア層812の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスにより清浄化される。第1の銅配線層802およびバリア層812の上部表面は、残留コンタミネーション物質803を有するように示されている。この段階、および各相互接続レベルの各対応する段階(2以上の相互接続レベルを仮定した場合)の上部表面では、好ましくはin-situで、例えばプラズマ清浄化処理のような従来の乾式清浄化処理、またはGCIB清浄化処理が実施される。GCIB清浄化処理は、Ar、N2、NH3、H2、またはこれらの混合ガス分子で構成されたGCIBクラスターイオンで、被清浄化表面を照射するステップを有し、好ましくは、約3kVから約50kVの範囲のビーム加速電位VACCが使用され、全ガスクラスターイオン線量は、約5×1013から約5×1016イオン/cm2の範囲である。本発明は、このガスの例に限られるものではなく、銅の表面から、CMP後の残留物、酸化銅、および他のコンタミネーション物質を助供する他のガスまたは混合ガスにより実施され得ることは、当業者には明らかである。本発明の本質ではないが、このGCIB清浄化処理は、in-situ清浄化処理であることが好ましい。
【0078】
図7Cには、GCIB清浄化ステップに続く、配線構造800を構成する処理の段階800Cを示す。第1の銅配線層802およびバリア層812の上部表面は、コンタミネーション物質が除去され、キャップ化ステップの準備がなされる。ここで、GCIBキャップ化処理が実施されても良い。GCIB導入キャップ化処理は、第1の銅配線層802の表面と、上部表面809に設置されたバリア層812を同時にキャップ化するように使用される。GCIBエッチングおよびキャップ化処理は、銅表面への導入の際に、キャップ化材料を構成する元素で構成されたGCIBで、第1の銅配線層802および露出バリア層812の上部表面を照射するステップを有する。GCIB照射により、第1の銅配線層802内にキャップ化化学種が導入され、キャップ膜813が形成される(図7D)。GCIB照射により、同時に露出バリア層材料812内に、導入層が導入される。この実施例では、導入条件は、キャップ化導入ステップが完了した際に、露出バリア層への導入深さが、上部表面809を覆う露出バリア層812の厚さよりも短くなるように選定される。従って、キャップ化化学種の露出バリア層812への導入の際に、キャップ化化学種は、層間誘電体層808には進入しない。バリア層812は、銅のキャップ化導入処理から、層間誘電体層808を保護するため、導入された際に層間誘電体層808の特性の劣化につながるおそれのあるキャップ化化学種にまで、導入キャップ化化学種の利用可能な範囲を広げることができる。導入深さは、GCIBを加速するビーム加速電位に依存する。ビーム加速電位VACCは、約3kVから約50kVの範囲で使用されることが好ましく、実際の値は、露出バリア層に導入層が確実に形成され、層間誘電体層808には進入しないように選定される。銅のキャップ化導入処理の際には、約1×1014から約1×1017イオン/cm2の範囲のGCIB線量が使用される。銅キャップ膜を形成する、いかなるソースガス(本発明の他の実施例に関して示した前述の多くのもの)を使用しても良いが、本実施例では、層間誘電体層は、導入化学種から保護されるため、導電層を形成するどうかに拘わらず、また層間誘電体材料に有害な膜を形成するかどうかに拘わらず、ガスまたは混合ガスが選択される。いくつかの一例としてのソースガスは、WF6、他の金属フッ化物ガス、炭素含有ガス、および有機金属ガスである。
【0079】
図7Dには、GCIBキャップ化ステップに続く、配線構造800を構成する処理の際の段階800Dを示す。第1の銅配線層802の上部表面は、キャップ化層813により、キャップ化され、バリア層812は、キャップ化導入ステップにより得られた導入層818を有する。拡大図820には、キャップ化層813および露出バリア層812における導入層818の拡大図が示されている。この構造は、層間誘電体層808の上部表面809を覆うバリア層812および導入層818の除去のために準備される。ここで、エッチング処理(好ましくは、以下に示すGCIB処理を用いて)が実施されても良く、これにより導入銅キャップ化層を除去せずに、バリア層812、および層間誘電体層808の上部表面809を覆う導入層818が除去される(ただし、有効キャップ化層が残留している場合は、ある部分または銅のキャップ化層が除去される)。
【0080】
図7Eには、GCIBエッチングステップに続く、配線構造800を構成する処理の際の段階800Eを示す。第1の銅配線層802の上部表面は、キャップ化層813によりキャップ化され、バリア層812は、エッチング除去され、層間誘電体層808の上部表面809が露出される。この構造は、誘電体バリア膜の形成のため準備される。必要に応じて、キャップ化層813および第1の層間誘電体層808の上部表面809上に、バリア膜622の成膜に関して説明したような成膜処理法を用いて、誘電体拡散バリア膜814(図7F)が形成されても良い。
【0081】
図7Fには、任意の誘電体拡散バリア膜814の形成に続く、配線構造800を構成する処理の際の段階800Fを示す。
【0082】
図7Gには、第1のGCIBキャップ化相互接続レベル(誘電体膜を含む)上に、第2の相互接続レベルを有する、配線構造800を構成する処理の際の段階800Gを示す。この段階では、第2の相互接続レベルは、バリア膜814上に形成される。第2の相互接続レベルは、バリア膜814上に成膜された、第2の層間誘電体層810で構成される。第2の層間誘電体層で構成810には、溝およびビアが形成され、これらは、バリア層812を囲む。溝およびビア内には、従来の技術を用いて、銅が成膜される。バリア層812は、最初、層間誘電体層で構成810の上部表面を被覆する。従来のCMP法により、銅の上部負荷が除去され、これは、バリア層812の材料上で停止される。また、バリア層材料に比べて、銅をより大きな速度で優先的に除去するように選定された従来のCMP処理条件を使用し、例えば、バリア材料に比べて、銅を選択的に除去する高選択性スラリを使用することにより、銅は、図に示すように、バリア層812の表面より下側に僅かに窪むようになる。この表面は、従来の処理プロセスを用いて清浄化されても良い。第2の銅配線層804およびバリア層812の上部表面は、残留コンタミネーション物質817を有するように示されている。第2の相互接続レベル(必要な場合)および後続のより高い相互接続レベル(必要な場合)で、配線構造800内の第1の相互接続レベルに関して示したような、前述のGCIB清浄化、GCIB導入キャップ化、GCIBエッチングおよびGCIB成膜ステップが適用されても良く、これにより、(例えば)キャップ膜815および任意のバリア膜816が形成される。また、所望の、図7Aの2つの相互接続レベル構造、または複数レベルの相互接続構造が形成されても良い。
【0083】
各相互接続レベルにおいて、好ましいエッチングステップは、これに限られるものではないが、SF6、CF4、C4F8、またはNF3のようなフッ素を含むソースガスから形成されたGCIBクラスターイオンで、被清浄化表面を照射することである。これらのガスを使用することにより、ガスクラスターイオンが形成され、純粋なガスまたはこれとN2またはAr、Xeのような不活性ガスとの混合ガスのいずれかにより、エッチング処理が行われる。ビーム加速電位VACCには、約10kVから約50kVの範囲のものが使用され、ノズルガス流量には、約200から約3000sccmの範囲のものが使用されても良い。例えば、バリア層材料をエッチングし、銅はほとんどエッチングしない好適な処理プロセスでは、800sccmの流速で、N2と10%NF3の混合ソースガスが使用される。本発明のこの第4の実施例では、GCIBエッチングステップの影響は、その前にGCIB銅キャップ化導入ステップにより銅表面に形成されたキャップ化層を介して、進入しないことが好ましい。従って、バリア材料をエッチングするGCIBの加速に使用されるビーム加速電位VACCは、銅のキャップ化導入GCIBを加速する際に使用される値よりも小さくなるように選定されることが好ましい。
【0084】
前述の本発明の第4の実施例の各々は、GCIB処理プロセスの使用に必要なステップを有し、またはGCIB処理プロセスは、任意で使用される。本発明の実施例のGCIB処理ステップは、必要な場合、従来の(GCIB以外の)処理ステップと組み合わせて実施されても良く、いくつかの場合、各必要なステップの一連の適用により、本発明が実施される。当然のことながら、他の処理ステップを提供する他の標準的な独立型のツール(例えばこれに限られるものではないが、成膜用PECVDツールおよび清浄化用プラズマ処理ツール)と組み合わせて、図3に示すようなGCIB処理システムを用いて、本発明を実施することが実用的である(およびある環境では、好ましい)。しかしながら、製造に必要な容量によっては、他の処理ツールが好ましい。いくつかの理由のため、単一のツールで、複数の一連のステップを同時に行うことが好ましい場合がある。そのような理由の一つは、処理能力である。半導体ウェハは、製造処理プロセスを通じて、より速やかに移動されるように処理され、ツールからツールにウェハが移動する時間はほとんどない。高い処理能力は、低コストにつながる。複数のステップを単一のツールで実施する別の利点は、高い処理品質により、良好な回路特性が得られることである。例えば、銅配線が酸化されると、配線の抵抗が上昇し、配線の信頼性が低下する。従って、清浄化、キャップ化、および誘電体拡散バリア膜の形成の全てのステップは、in-situで、単一の真空システム内で実施され、ステップ間にウェハが大気に露出されないことが好ましい。また、単一のツール内で複数のステップを実施することにより、ステップの間、大気に暴露されることがなく(減圧雰囲気または真空で操作される場合)、コンタミネーションが回避され、処理プロセスにおいて、余分な清浄化ステップの必要性が抑制される。
【0085】
第4の実施例の方法の特異な利点は、銅のキャップ化ステップおよび層間誘電体の上部表面からの拡散バリアの除去ステップを実施する順番である。前述の従来の処理手順、および本発明の第1の2つの実施例では、各相互接続層のため、相互接続層内で銅のキャップ化処理を実施する前に、層間誘電体層の上部表面から、バリア層材料が除去される。本発明の第3の実施例では、各相互接続層のため、相互接続層内の銅のキャップ化処理と同時に、バリア層材料が、層間誘電体層の上部表面から除去される。従来の全ての一連の処理、および本発明の第1の2つの実施例では、銅のキャップ化処理は、層間誘電体層の上部表面と好ましくない方法で相互作用しないように、キャップ化処理の使用に限定され、好ましくない追加のマスク処理ステップを行わずに、層間誘電体層の上部表面が保護されるため、これは、銅のキャップ化処理の影響に曝される。本発明の第3の実施例の場合、銅のキャップ化処理は、バリア層材料のエッチング可能なGCIBの使用に制限され、同時に、銅のキャップ化層が形成され、さらに、バリア層材料は完全にエッチングされた際の、
エッチング/キャップ化処理の完了時に、層間誘電体層の照射の間に生じ得る、いくつかのコンタミネーションの可能性または層間誘電体層の上部表面に対する好ましくない影響が存在する。本発明の第4の実施例では、層間誘電体層の上部表面のバリア層材料は、銅のキャップ化処理の間、層間誘電体の上部表面を完全にマスクし、GCIB銅キャップ化処理に使用されるGCIB成分の選定の際に、完全な自由度が得られ、層間誘電体に対する好ましくない影響がない状態で、銅のキャップ化特性が最適化される。
【0086】
従って、本発明を高容量の製造に導入する場合、図8Aに示すクラスターツールを使用することが好ましい。図8Aには、クラスターツール900Aの概略的な図を示す。搬送チャンバ902は、試料搬送装置904を有し、これは、ある位置から別の位置に試料を運搬するウェハ搬送ロボット等であることが好ましい。ロード/アンロードロック906により、大気と真空のロックが提供され、試料は、クラスターツール内に、およびクラスターツールから搬送される。ロード/アンロードロック906は、シャッタまたはバルブ908、910を有し、これは、クラスターツール内外への試料の搬送が可能となるように作動する。ロード/アンロードロック906は、真空(減圧雰囲気)と大気圧の間を切り替えることができ、クラスターツールの大気下から、真空雰囲気への試料(図示されていない)の搬送が容易となる。試料は、個々に、ロード/アンロードロック906を介して搬送され、あるいは、複数の試料が収容されるカセットもしくは容器に入れて搬送される。クラスターツールへの試料の配置およびクラスターツールからの試料の除去の両方の、単一のロード/アンロードロックが示されているが、クラスターツールの各種標準的な構成と対応する、別個のロードおよびアンロードロックを使用しても良いことは、当業者には容易に理解される。
【0087】
クラスターツール900Aは、複数の処理チャンバ(例えば、これに限定されるものではないが、912、916、920、924および928が示されている)を有する。各処理チャンバは、シャッタまたはバルブ(それぞれ、914、918、922、926および930)を介して、搬送チャンバ902と連通されている。各処理チャンバは、異なる(または同じ)タイプの試料を処理するツールとして構成されても良く、クラスターツールは、5つの(図に示されている)またはより多くまたはより少ない処理チャンバを有しても良い。通常、搬送チャンバ902および処理チャンバの全ては、真空条件で作動し、これにより、処理ステップの間に、試料を大気に暴露することがなくなり、試料に対する複数の処理の実施が容易となる。図3に示すようなGCIB処理システムの処理機能は、クラスターツール処理チャンバ内に構成されても良く、これにより、クラスターツールにGCIB処理ステップが導入される。クラスターツール処理モジュールとしての機能に適合されたGCIB処理システムは。米国マサチューセッツ州ビリエリカのEpion社により製作され、市販されている。
【0088】
図8Bには、図8Aの処理チャンバ(912、916、920、924、および928)の各々に対応する、処理モジュールA(processing module A)、処理モジュールB(processing module B)、処理モジュールC(processing module C)、処理モジュールD(processing module D)、処理モジュールE(processing moduleE)の、5つの処理チャンバを備えるクラスターツール900Bを示す。1または2以上のこれらの処理モジュール(処理チャンバ)は、GCIB処理システムとして構成される。これらの処理モジュールの他方は、他の処理システムとして構成され、例えば、これに限られるものではないが、プラズマ清浄化システム、PECVD成膜システム等である。試料搬送装置904は、各種処理チャンバ(912、916、920、924、および928)、搬送チャンバ902、およびロード/アンロードロック906に沿ってウェハを移動させる。1または2のプラズマ清浄化システムモジュールでクラスターツールが構成されると、プラズマ清浄化システムモジュールは、同じクラスターツールにおいて、GCIB銅キャップ化処理を実施する前に、従来の技術を用いて、試料(ウェハ)の清浄化を実施するように適合される。1または2以上のPECVD成膜システムモジュールで構成されると、PECVD成膜システムモジュールは、同じクラスターツールにおいて実施されたGCIB銅キャップ化操作を利用して、既にキャップ化されたキャップ化銅上に、誘電体膜の成膜を実施するように適合される。クラスターツールは、複数のGCIB処理チャンバで構成されても良い。そのようなGCIB処理チャンバは、GCIB銅キャップ化処理、GCIB表面清浄化処理、および/またはGCIB成膜処理の全てを実施するように適合される(例えば、同じクラスターツールにおいて、GCIB処理によりキャップ化された銅上への、誘電体拡散バリア膜を含む誘電体膜の成膜)。
【0089】
前述の本発明の第4の実施例は、図9の表に示した各種例に記載のステップおよび任意ステップを取り込んでいる。本発明の第4の実施例のため、図9の表には、従来の処理およびGCIB処理を用いた処理ステップの、いくつかの可能性のある位置組み合わせと、各種ステップの組み合わせを有効に実施するクラスターツール構成(好適構成を含む)とが示されている。
【0090】
図9の表に示すクラスターツール構成には、最大4つの処理モジュールが示されているが、ある場合には、追加の処理モジュールを用いることにより、図9の表に必要なものよりも多くの処理モジュールを支持できるクラスターツールが有益であることは、当業者には明らかであり、より遅い処理が再現され、複製モジュールの間で仕事を共有し、および/または本発明の一部を構成しない追加の処理ステップを加えることにより、処理量が最適化される。ただし、そのような追加の処理ステップは、本発明の各種実施例の一連のステップの前後に、必然的に行われるものであり、集積回路の製造処理プロセス全体の追加の部分として必要である。
【0091】
各種実施例に関して、本発明について説明したが、本発明は、本発明の思想から逸脱しないで、さらなるおよび他の実施例に幅広く利用することができることに留意する必要がある。例えば、本発明は、ジュアルダマスク集積方式に限られず、他の銅相互接続方式に等しく適用することができることは、当業者には明らかである。また、本発明は、導入膜および設置膜、または各種成分(例えばSi3N4、SiC、SiCN、BN、CuF2、TiO2、CuCO3、B、Ti、窒化珪素、炭化珪素、炭窒化珪素、窒化ボロン、銅フッ化物、二酸化チタン、銅炭酸塩、ボロン、チタン、およびホウケイ酸ガラス)を有する各種層に関して示されているが、本発明により形成された多くの膜および層は、傾斜され、あるいは純粋な形態で実施され、これらは、化学式または名称で表される正確な化学量論を有さず、あるいはほぼ化学量論に等しく、通常の場合、そのような膜を使用する際に一般的な、水素および/または他の不純物を追加で含んでも良いことが、当業者には理解される。
【図面の簡単な説明】
【0092】
【図1】従来の窒化珪素キャップ化銅相互接続配線構造を概略的に示した図である。
【図2】従来の選択性金属キャップ化銅相互接続配線構造を概略的に示した図である。
【図3】従来のGCIB処理機器の基本素子を概略的に示した図である。
【図4A】本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。
【図4B】本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。
【図4C】本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。
【図4D】本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。
【図4E】本発明の第1の実施例によるGCIB導入法による、銅の相互接続キャップ化処理を概略的に示した図である。
【図5A】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5B】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5C】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5D】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5E】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5F】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5G】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5H】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5I】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5J】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5K】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図5L】本発明の第2の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6A】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6B】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6C】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6D】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6E】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6F】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図6G】本発明の第3の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7A】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7B】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7C】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7D】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7E】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7F】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図7G】本発明の第4の実施例によるGCIB導入処理および成膜処理を用いた、銅の相互接続キャップ化処理を概略的に示した図である。
【図8A】本発明のいくつかの一例としての実施例に使用され得る、クラスターツールの一例を概略的に示した図である。
【図8B】本発明のいくつかの一例としての実施例に使用され得る、クラスターツールの一例を概略的に示した図である。
【図9】本願の実施例の異なる例を示す表を示した図である。

【特許請求の範囲】
【請求項1】
1または2以上の銅の相互接続表面と、誘電体材料を被覆するバリア層材料の1または2以上の表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つの上、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の銅の相互接続表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法。
【請求項2】
前記バリア層材料は、第1の厚さを有し、
前記誘導するステップは、さらに、前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、導入層を導入し、
前記導入層は、前記第1の厚さよりも薄い第2の厚さを有することを特徴とする請求項1に記載の方法。
【請求項3】
さらに、前記導入層、および前記誘電体材料を被覆する前記バリア層材料をエッチング除去するステップを有することを特徴とする請求項2に記載の方法。
【請求項4】
前記エッチング除去するステップは、さらに、
前記減圧チャンバ内に、加速されたエッチング処理GCIBを形成するステップと、
前記誘電体材料を被覆する前記バリア層材料の少なくとも一つの表面上に、前記加速されたエッチング処理GCIBを誘導するステップと、
を有することを特徴とする請求項3に記載の方法。
【請求項5】
前記キャップ処理GCIBを形成するステップおよび誘導するステップの前に、さらに
前記減圧チャンバ内に、加速された清浄化処理GCIBを形成するステップと、
銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに、前記加速された清浄化処理GCIBを誘導するステップと、
を有し、
前記1または2以上の表面に、前記加速された清浄化処理GCIBが誘導され、前記1または2以上の表面が清浄化されることを特徴とする請求項1に記載の方法。
【請求項6】
前記加速された清浄化処理GCIBを形成するステップは、さらに、
Ar、N2、NH3、およびH2からなる群から選定された、少なくとも一つのガスの分子から、ガスクラスターイオンを発生するステップを有することを特徴とする請求項5に記載の方法。
【請求項7】
前記加速された清浄化処理GCIBを形成するステップは、さらに、
約3kVから約50kVの範囲の加速電位を用いて、清浄化処理GCIBガスクラスターイオンを加速するステップを有することを特徴とする請求項5に記載の方法。
【請求項8】
前記加速された清浄化処理GCIBを誘導するステップにより、約5×1013から約5×1016ガスクラスターイオン/cm2の範囲の照射線量が、前記1または2以上の銅の相互接続表面の少なくとも一つに供給されることを特徴とする請求項5に記載の方法。
【請求項9】
前記誘電体材料は、層間誘電体層の部分を有することを特徴とする請求項1に記載の方法。
【請求項10】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
Ar、Xe、CH4、SiH4、NH3、N2、CO2、GeH4、B2H2、B2H6、TiCl4、およびTDEATからなる群の、1または2以上の分子を含むガスクラスターイオンを発生するステップを有することを特徴とする請求項1に記載の方法。
【請求項11】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
約3kVから約50kVの範囲の加速電位により、前記発生したガスクラスターイオンを加速するステップを有することを特徴とする請求項1に記載の方法。
【請求項12】
前記加速されたキャップ処理GCIBを誘導するステップにより、約1×1014から約1×1017ガスクラスターイオン/cm2の範囲の照射線量が、前記1または2以上の銅の相互接続表面の少なくとも一つ、および前記誘電体材料を被覆する前記バリア層材料の1または2以上の表面の少なくとも一つに供給されることを特徴とする請求項1に記載の方法。
【請求項13】
さらに、
形成された前記少なくとも一つのキャップ化構造を覆う、少なくとも一つの絶縁層を形成するステップを有することを特徴とする請求項1に記載の方法。
【請求項14】
前記少なくとも一つの絶縁層を形成するステップは、PECVD成膜処理を利用することを特徴とする請求項13に記載の方法。
【請求項15】
形成された前記少なくとも一つの絶縁層は、炭化珪素、窒化珪素、および炭窒化珪素からなる群から選定された一つの材料を有することを特徴とする請求項13に記載の方法。
【請求項16】
前記少なくとも一つのキャップ化構造を覆う、少なくとも一つの絶縁層を形成するステップは、さらに、
前記減圧チャンバ内に、加速された成膜GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面上に、前記少なくとも一つの絶縁層が成膜されるように、前記加速された成膜GCIBを誘導するステップと、
を有することを特徴とする請求項13に記載の方法。
【請求項17】
前記少なくとも一つのキャップ化構造を覆う前記少なくとも一つの絶縁層は、誘電体拡散バリア膜であることを特徴とする請求項13に記載の方法。
【請求項18】
減圧雰囲気下で少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する際の、少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツール。
【請求項19】
少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つの清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されることを特徴とする請求項18に記載のクラスターツール。
【請求項20】
少なくとも一つの清浄化処理チャンバは、プラズマ清浄化処理チャンバであることを特徴とする請求項19に記載のクラスターツール。
【請求項21】
少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項19に記載のクラスターツール。
【請求項22】
減圧雰囲気において、少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する際の、少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツール。
【請求項23】
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つの成膜チャンバは、前記少なくとも一つのウェハの少なくとも一部のキャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項22に記載のクラスターツール。
【請求項24】
少なくとも一つの成膜チャンバは、PECVD成膜チャンバであることを特徴とする請求項22に記載のクラスターツール。
【請求項25】
前記少なくとも一つのGCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されることを特徴とする請求項22に記載のクラスターツール。
【請求項26】
減圧雰囲気において、少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する際の、少なくとも一つのロックと、
少なくとも一つの搬送チャンバと、
少なくとも一つのGCIB処理チャンバと、
少なくとも一つの成膜チャンバと、
少なくとも一つの清浄化処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツール。
【請求項27】
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つの清浄化処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されることを特徴とする請求項26に記載のクラスターツール。
【請求項28】
前記少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つの成膜チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項26に記載のクラスターツール。
【請求項29】
少なくとも一つの成膜チャンバは、PECVD成膜チャンバであることを特徴とする請求項26に記載のクラスターツール。
【請求項30】
少なくとも一つの清浄化処理チャンバは、プラズマ清浄化処理チャンバであることを特徴とする請求項26に記載のクラスターツール。
【請求項31】
少なくとも一つのGCIB処理チャンバは、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項26に記載のクラスターツール。
【請求項32】
前記少なくとも一つのGCIB処理チャンバは、銅のキャップ化処理の前に、前記少なくとも一つのウェハの少なくとも一部を清浄化するように適合されることを特徴とする請求項26に記載のクラスターツール。
【請求項33】
減圧雰囲気において、少なくとも一つのウェハを処理するクラスターツールであって、
前記少なくとも一つのウェハを、当該クラスターツール内におよび/または当該クラスターツールから移動する際の、少なくとも一つのロックと、
複数のGCIB処理チャンバと、
チャンバからチャンバに、前記少なくとも一つのウェハを搬送するように適合された、少なくとも一つのウェハ搬送装置と、
を有するクラスターツール。
【請求項34】
少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つのGCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項33に記載のクラスターツール。
【請求項35】
少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つのGCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合されることを特徴とする請求項33に記載のクラスターツール。
【請求項36】
少なくとも一つのGCIB処理チャンバは、前記少なくとも一つのウェハの少なくとも一部に、銅のキャップ化処理を実施するように適合され、
少なくとも一つのGCIB処理チャンバは、銅のキャップ化処理の前に、清浄化処理を実施するように適合され、
少なくとも一つのGCIB処理チャンバは、キャップ化銅の上に、誘電体拡散バリア膜を形成するように適合されることを特徴とする請求項33に記載のクラスターツール。
【請求項37】
1または2以上の銅の相互接続表面と、1または2以上の誘電体表面と、を有する構造上に、キャップ化構造を形成する方法であって、
減圧チャンバ内に前記構造を設置するステップと、
前記減圧チャンバ内に、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面、および前記1または2以上の誘電体表面の少なくとも一つの上に、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の表面上に、前記加速されたキャップ処理GCIBが誘導され、前記1または2以上の表面上に、少なくとも一つのキャップ化構造が形成されるステップと、
を有する方法。
【請求項38】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
銅表面に導入された際に、電気絶縁材料を構成し、誘電体表面に導入された際に、電気絶縁材料を形成する元素から、ガスクラスターイオンを発生するステップ
を有し、
形成された前記少なくとも一つのキャップ化構造は、電気絶縁性キャップ化構造であることを特徴とする請求項37に記載の方法。
【請求項39】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
銅表面に導入された際に、導電性材料を構成し、誘電体表面に導入された際に、電気絶縁材料を構成する元素から、ガスクラスターイオンを発生するステップ
を有し、
形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域上の導電性キャップ化構造、および前記誘電体部分の前記照射領域上の電気絶縁性キャップ化構造の少なくとも一つを有することを特徴とする請求項37に記載の方法。
【請求項40】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
希ガスまたは混合希ガスから、ガスクラスターイオンを発生するステップ
を有し、
形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有することを特徴とする請求項37に記載の方法。
【請求項41】
前記加速されたキャップ処理GCIBを形成するステップは、さらに、
Ar、Xe、またはArとXeの混合ガスから、ガスクラスターイオンを発生するステップ
を有し、
形成された前記少なくとも一つのキャップ化構造は、前記銅相互接続部分の前記照射領域に、少なくとも導電性キャップ化構造を有することを特徴とする請求項37に記載の方法。
【請求項42】
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップと、
前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造を形成するステップの後に、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料を除去するステップと、
を有する方法。
【請求項43】
前記形成するステップは、さらに、
加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップと、
を有することを特徴とする請求項42に記載の方法。
【請求項44】
前記除去するステップは、
加速されたエッチング処理GCIBを形成するステップと、
前記バリア層材料に、前記加速されたエッチング処理GCIBを誘導するステップと、
を有することを特徴とする請求項42に記載の方法。
【請求項45】
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
第1のビーム加速電位を用いて、加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
前記第1のビーム加速電位よりも低い第2のビーム加速電位を用いて、加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記バリア層材料が除去されるステップと、
を有する方法。
【請求項46】
1または2以上の銅の相互接続表面と、バリア層材料により被覆された1または2以上の誘電体層領域と、を有する集積回路相互接続層上に、銅のキャップ化構造を形成する方法であって、
加速されたキャップ処理GCIBを形成するステップと、
前記1または2以上の銅の相互接続表面の少なくとも一つに、前記加速されたキャップ処理GCIBを誘導するステップであって、前記1または2以上の銅の相互接続表面に、少なくとも一つのキャップ化構造が形成されるステップと、
加速されたエッチング処理GCIBを形成するステップと、
前記少なくとも一つのキャップ化構造上、および前記バリア層材料上に、前記加速されたエッチング処理GCIBを誘導するステップであって、前記少なくとも一つのキャップ化構造の全ては除去されずに、前記1または2以上の誘電体層領域の少なくとも一つを被覆する前記バリア層材料が除去されるステップと、
を有する方法。
【請求項47】
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する、集積回路相互接続層であって、
請求項42に記載の方法により製作されることを特徴とする集積回路相互接続層。
【請求項48】
1または2以上のキャップ化銅相互接続表面と、1または2以上の誘電体層領域とを有する、集積回路相互接続層であって、
請求項45に記載の方法により製作されることを特徴とする集積回路相互接続層。
【請求項49】
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料を覆うバリア層材料表面に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
前記第2のGCIB処理チャンバ内で、GCIBエッチング処理を用いて、前記誘電体層から前記バリア層を除去するステップと、
を有する方法。
【請求項50】
さらに、前記形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有することを特徴とする請求項49に記載の方法。
【請求項51】
前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、
前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含むことを特徴とする請求項50に記載の方法。
【請求項52】
クラスターツールシステム内で、該クラスターツールシステムを減圧環境に維持したまま、半導体ウェハを処理する方法であって、
クラスターツールの第1のGCIB処理チャンバ内で、GCIB処理を用いて、半導体ウェハ上の銅の相互接続表面、および誘電体材料上に、キャップ化層を形成するステップと、
前記クラスターツールの前記減圧環境内で、前記第1のGCIB処理チャンバから、前記クラスターツールの第2の処理チャンバに、前記半導体ウェハを搬送するステップと、
前記クラスターツールの前記第2の処理チャンバ内で、誘電体膜形成処理プロセスを用いて、前記キャップ化層上に、誘電体拡散バリア膜を形成するステップと、
を有する方法。
【請求項53】
さらに、形成するステップの前に、
前記クラスターツールの第3の処理チャンバ内で、清浄化処理プロセスを用いて、前記銅の相互接続表面および前記バリア層材料表面を清浄化するステップと、
前記クラスターツールの前記減圧環境内で、前記クラスターツールの第3の処理チャンバから、前記クラスターツールの第1のGCIB処理チャンバに、前記半導体ウェハを搬送するステップと、
を有することを特徴とする請求項52に記載の方法。
【請求項54】
前記クラスターツールの第3の処理チャンバは、GCIB処理チャンバであり、
前記清浄化処理プロセスは、GCIB清浄化処理プロセスを含むことを特徴とする請求項53に記載の方法。
【請求項55】
前記クラスターツールの第2の処理チャンバは、GCIB処理チャンバであり、
前記誘電体膜形成処理プロセスは、GCIB導入処理プロセスを含むことを特徴とする請求項52に記載の方法。

【図1】
image rotate

【図2】
image rotate

【図3】
image rotate

【図4A】
image rotate

【図4B】
image rotate

【図4C】
image rotate

【図4D】
image rotate

【図4E】
image rotate

【図5A】
image rotate

【図5B】
image rotate

【図5C】
image rotate

【図5D】
image rotate

【図5E】
image rotate

【図5F】
image rotate

【図5G】
image rotate

【図5H】
image rotate

【図5I】
image rotate

【図5J】
image rotate

【図5K】
image rotate

【図5L】
image rotate

【図6A】
image rotate

【図6B】
image rotate

【図6C】
image rotate

【図6D】
image rotate

【図6E】
image rotate

【図6F】
image rotate

【図6G】
image rotate

【図7A】
image rotate

【図7B】
image rotate

【図7C】
image rotate

【図7D】
image rotate

【図7E】
image rotate

【図7F】
image rotate

【図7G】
image rotate

【図8A】
image rotate

【図8B】
image rotate

【図9】
image rotate


【公表番号】特表2009−531835(P2009−531835A)
【公表日】平成21年9月3日(2009.9.3)
【国際特許分類】
【出願番号】特願2008−553545(P2008−553545)
【出願日】平成19年2月6日(2007.2.6)
【国際出願番号】PCT/US2007/061708
【国際公開番号】WO2007/092856
【国際公開日】平成19年8月16日(2007.8.16)
【出願人】(508233445)ティーイーエル エピオン インコーポレイテッド (7)
【Fターム(参考)】